Jun, 30, 2025

Vol.58 No.3

Editorial Office

Archive

  • 2025
  • 2024
  • 2023
  • 2022
  • 2021
  • 2020
  • Vol. 58 No.1
  • Vol. 58 No.2
  • Vol. 58 No.3

Vol. 58 No.1

The paint coating technology for preventing carbonation of concretein building structures

Churlmin Kim, Yong-Wook Choi*

Energy System Group, Korea Institute of Industrial Technology (KITECH), Busan, 46938 South Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 1-10.

Abstract

In the case of buildings consisting of reinforced concrete, vulnerable problems (such as steel-reinforcement corrosion and concrete cracks) may occur due to concrete carbonation depending on external environmental conditions such as temperature, moisture and CO2 concentration. Since concrete carbonation is significantly important degradation factor that could determine the lifetime of the building, functional coatings (paints) are attracting attention as a means of preventing it. This review provides the current status of highly functionalized paints for preventing concrete carbonation in South Korea and the direction for improving paints.

Keywords

Surface coating; Paint; Concrete materials; Carbonation resistance

A Review on Polymer Electrolyte Membrane Fuel Cell Materials via Atomic Layer Deposition

Ye-Won Shina,†, Yu-Ri Hana,†, Woo-Jae Leeb*

aDepartment of Nanotechnology Engieering, Pukyong National University, 45 Yongso-ro, Busan, 48513, South Korea bDivision of Nanotechnology and Semiconductor Engineering, Pukyong National University, 45 Yongso-ro, Busan, 48513, South Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 11-25.

Abstract

Atomic layer deposition(ALD) has emerged as a promising technique for improving the performance and durability of polymer electrolyte membrane fuel cells(PEMFCs). By enabling atomic-scale precision in material deposition, ALD enhances catalyst utilization, gas diffusion layer (GDL) modification, and bipolar plate protection. ALD-based catalyst minimizes platinum usage while maintaining high electrochemical activity through controlled nanoparticle growth and alloy formation. In addition, ALD improves GDL characteristic by optimizing mass transport and water management, which enhances durability and efficiency. ALD material deposition on bipolar plates could provide superior corrosion resistance and electrical conductivity, ensuring long-term stability. However, challenges remain in scaling ALD for large-area applications, increasing process speed, and reducing costs. Future research should focus on optimizing deposition conditions, and developing cost-effective ALD systems. This review highlights the role of ALD in advancing PEMFC technology and its potential to enhance efficiency and stability.

Keywords

Atomic Layer Deposition; Polymer Electrolyte Membrane Fuel Cell; Catalyst; Bipolar Plate; Gas Diffusion Layer

Oil component removal performance of boron-doped diamond electrode prepared by hot-filament chemical vapor deposition method

Mi Young Youa, Seo Han Kima,c, Pung Keun Songb*

aThe Institute of Materials Technology, Pusan National University, Busan 46241, Korea bDepartment of Materials Science and Engineering, Pusan National University, Busan 46241, Korea cDepartment of Materials Science and Engineering, The Ångström Laboratory, Uppsala University, P. O. Box 35, SE-75103, Uppsala, Sweden

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 26-32.

Abstract

The sewage and wastewater treatment market is evolving from organic material removal to advanced treatment and reuse, including toxic management and non-degradable treatment systems. Consequently, the importance of insoluble electrode technology for oxidant generation, which primarily degrades hazardous materials, is increasing. In this study, we propose boron-doped diamond (BDD) as an electrode material for an oil component removal system. BDD electrodes were prepared with various methane (CH4) and trimethyl borane (TMB) flow ratios using hot-filament chemical vapor deposition (HF-CVD) system. All samples exhibited a preferential (111) peak growth; however, there was no significant difference in crystallinity concerning different boron concentration. In cyclic voltammetry analysis, all samples had a potential window of 2.6 V, but the current increased as a function of boron concentration; BDD with 47,000 ppm exhibited 1.3 times higher current than BDD with 6,700 ppm. As a result, the BDD 47,000 ppm sample, which generated an extremely high concentration of oxidants, showed the best performance in the oil residue component removal test.

Keywords

HF-CVD; Boron-doped diamond; Insoluble electrode; Oil components removal

Electrochromic properties of transparent vanadium oxide films prepared by the electrodeposition method

Sumin Choi, Kwang-Mo Kang, Jungsoo Park, Yoon-Chae Nah*

School of Energy, Materials, and Chemical Engineering, Korea University of Technology and Education, 1600 Chungjeol-ro, Cheonan, Chungnam 31253, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 33-40.

Abstract

Electrochromic materials, which exhibit reversible color changes through electrochemical reactions, have attracted significant attention for applications in smart windows and displays due to their energy-efficient properties. Among various electrochromic materials, vanadium oxides are promising due to their high energy density, excellent stability, and diverse color states. This study demonstrates the fabrication of transparent vanadium oxide thin films via electrodeposition and investigates their electrochromic properties. The films exhibited phase transformation from monoclinic VO2 to orthorhombic V2O5 at 300 ℃, accompanied by morphological evolution from spherical particles to platelet structures. The electrochemical analysis revealed efficient Li+ ion diffusion with notable optical modulation and rapid color switching between transparent yellow and purple states. This simple electrodeposition method demonstrates that the transparent V2O5 thin films possess stable and reversible electrochromic characteristics suitable for smart window applications.

Keywords

Vanadium oxide; Electrodeposition; Annealing; Electrochromism; Smart windows

Effect of surface modification treatment on surface energy of substrate and adhesion strength for Ti coating on substrates

Sung-Yong Mon, Dong-Hoon Shin, Dong-Hwi Lee, Sang-Hun Lee, Young-Rae Cho*

Department of Materials Science & Engineering, Pusan National University, Busan 46241, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 41-51.

Abstract

This study examines how surface modification treatments affect the surface energy of a polymer substrate and how these changes influence the adhesion strength of metal coatings. Polymethyl methacrylate (PMMA) was chosen as the substrate material. The surface of the PMMA was modified using atmospheric plasma treatment and fluorination coating. The surface energy was measured by evaluating the contact angle. After modification, a titanium (Ti) thin film was deposited on the substrate by sputtering, and the adhesion strength between the Ti coating and PMMA was measured using a Cross-cut test. The plasma treatment significantly increased the polar component of the surface energy, improving its interaction with the Ti coating. In contrast, the hydrophobic fluorination coating reduced the surface energy of the PMMA from 50.0 mJ/m² to 38.2 mJ/m². Importantly, the adhesion strength of the Ti coating showed a clear correlation with the surface energy of the substrate.

Keywords

Surface modification; Adhesion; Surface energy; Contact angle; Polymer

Growth of parallel-aligned SWNTs on quartz and their transfer onto SiO2 wafer with high yield for nanoelectronic device application

Jong-Hwan Leea and Goo-Hwan Jeonga,b,*

aInterdisciplinary Program in Advanced Functional Materials and Devices Development, Kangwon National University, Chuncheon 24341, Korea bDepartment of Battery Convergence Engineering, Kangwon National University, Chuncheon 24341, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 1, pp. 52-59.

Abstract

Single-walled carbon nanotubes (SWNTs) have attracted significant interest due to their extraordinary electronic, thermal, and mechanical properties, making them promising materials for next generation electronic and energy storage devices. We here report a synthesis of parallel-aligned (PA) SWNTs on quartz substrates and direct transfer process onto silicon dioxide wafers without loss of as-grown SWNTs during the wet transfer. The growth temperature to obtain PA-SWNTs in chemical vapor deposition (CVD) was changed and investigated the effect of CVD temperature on tube density and diameter distribution. The morphological and structural properties of as-grown and transferred SWNTs were characterized using scanning electron microscopy and Raman spectroscopy. We believe that the proposed approach offers great potential for SWNTs-based nanoelectronic device fabrication.

Keywords

Single-walled carbon nanotubes; Chemical vapor deposition; Wet transfer; Parallel-aligned singlewalled carbon nanotubes

Vol. 58 No.2

Enhancing the Electrochemical Performance of SiOx-Based Anodes in Lithium-Ion Batteries: A Review on Metal Doping Strategies and Their Practical Implications

Heonsoo Parka, JeongEun Yoob, Jinsub Choib*

aNano-material Division, Daejoo Electric Materials Co. Ltd., Siheung, Republic of Korea bDepartment of Chemistry and Chemical Engineering, Inha University, Incheon 22212, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 60-74.

Abstract

Silicon (Si) is widely considered a promising anode material for high-energy-density lithium-ion batteries (LIBs) owing to its exceptionally high theoretical capacity (~3579 mAh g-1) and natural abundance. However, its practical implementation is hindered by severe volume expansion and interfacial instability during lithiation, which result in electrode degradation and low initial coulombic efficiency (ICE). Silicon oxide (SiOx) has emerged as a viable alternative, offering improved structural integrity and more stable cycling performance. Nevertheless, it still suffers from significant ICE loss due to irreversible lithium silicate formation and lithium trapping. Metal doping has recently gained increasing attention as an effective strategy to address these limitations. Incorporation of metal elements such as Mg, Sn, Ti, Li, into SiOx has been demonstrated to enhance electrical conductivity, stabilize both the structure and the solid electrolyte interphase (SEI), and suppress the formation of electrochemically inactive phases-leading to improved ICE and prolonged cycle life. In this review, we provide a comprehensive summary of recent advances in metal doping strategies for SiOx-based anodes. The focus is placed on doping mechanisms, synthesis methodologies, and their impact on structural and electrochemical properties, with an emphasis on practical feasibility for next-generation LIB applications.

Keywords

SiOx, Metal Doping, Anode Material, Initial Coulombic Efficiency, Lithium-Ion Battery, Commercialization

Effect of temperature and humidity on corrosion resistance of PosMAC steel plate

Sumin Leea, Chanho Ahna, Daehui Leea, Hyung-Seok Moonb, Junghoon Leea,*

aDepartment of Metallurgical Engineering, Pukyong National University, Busan 48513, Republic of Korea bExtreme Process Control Group, Korea Institute of Industrial Technology, Busan 46938, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 75-81.

Abstract

Zn plating is a technology to prevent steel corrosion, which is a major problem that can occur in various industrial fields. Zn-Mg-Al alloy-coated steel enhances corrosion resistance by incorporating small amounts of aluminum (Al) and magnesium (Mg) into zinc, thereby extending the product’s service life and reducing resource onsumption. PosMAC steel developed and commercialized by POSCO is Zn-Mg-Al hot-dip alloy coated steels for excellent corrosion resistance, and it is widely utilized in automotive and home appliance applications. Despite their widespread use, comprehensive studies on corrosion resistance under diverse environmental exposures are rarely explored. This study evaluates the corrosion behavior of PosMAC steels exposed to high-temperature dry and humid environments for extended periods. Surface chemical composition change was analyzed using energydispersive spectroscopy (EDS) and X-ray photoelectron spectroscopy (XPS). Corrosion resistance was evaluated through potentiodynamic polarization and salt spray tests. Results showed that prolonged exposure to high-temperature dry conditions decrease corrosion resistance, while high-temperature humid conditions maintained stable corrosion resistance over time. These results demonstrate that more superior corrosion resistance of steel can be achieved by exposing to high-temperature humid conditions for a long time. The improvement of corrosion resistance is attributed to the formation of Mg(OH)2 on the surface through reactions with water vapor, highlighting the importance of environmental conditions on the performance of Zn-Mg-Al alloy coated steel.

Keywords

Zn-M g-Al alloy coating; Corrosion resistance; Salt-spray test; PosMAC; Environmental test.

ZIF-derived Co single-atom catalysts in nitrogen-doped carbon for high-performance aluminum–sulfur batteries

Hyeonwook Sona, Minjeong Kima, Moonsu Kim*,b, Gibaek Lee*,a

aSchool of Chemical Engineering, Yeungnam University, Gyeongsan, 38541, Republic of Korea bSchool of Advanced Materials and Electrical Engineering, Industrial Technology Center for Environmentfriendly Materials, Gyeongkuk National University, Andong, 36729, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 82-89.

Abstract

In this study, we report a sulfur-loaded cobalt single-atom catalyst–nitrogen-doped porous carbon composite (S@Co-NC) as a promising cathode material for aluminum–sulfur batteries. The material was derived from a zeolitic imidazolate framework (ZIF) precursor and carbonized to yield a polyhedral, high-surface-area carbon structure. Cobalt atoms were atomically dispersed via nitrogen coordination, and sulfur was efficiently infused into the porous matrix. The S@Co-NC electrode exhibited a high initial discharge capacity and maintained 411 mAh g-1over 100 cycles over 93% Coulombic efficiency. These improvements are attributed to the catalytic role of single-atom Co and the polysulfide-trapping capability of the N-doped carbon. This work highlights the potential of single-atom catalyst design for next-generation aluminum–sulfur batteries.

Keywords

Polysulfide shuttle effect; ZIF; N-doped porous carbon; single atom Co catalyst; Aluminum-sulfur batteries.

Temperature Dependence and Anisotropy Control in Low-Temperature, Oxygen-based Polymer Etching Processes

Min Koo*

Department of Semiconductor Engineering, Daejeon University, Daejeon 34520, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 90-96.

Abstract

Low-temperature, oxygen-based plasma etching of thick SU-8 films was systematically examined under both pure O2 and CO/O2 (2.4:0.6) gas environments, with substrate temperatures varied from room temperature down to –80 °C. In pure O2 plasmas, pronounced sidewall erosion and stepwise etch-rate fluctuations—attributable to photon-induced CO desorption, viscoelastic matrix rearrangements, and formation of volatile reaction products—resulted in significant undercutting and poor reproducibility at intermediate cryogenic temperatures. The introduction of CO to promote carbon-based passivation via the Boudouard reaction markedly reduced lateral etching by depositing a protective carbon film; however, this benefit sharply declined at temperatures ≤ –30 °C owing to sluggish carbon deposition kinetics. Furthermore, independent thermal annealing of SU-8 at 250 °C for 30 min prior to etching substantially suppressed abrupt, stepwise etch-rate variations—thereby enhancing process stability—likely due to increased crosslink density and removal of residual solvent. Optimal anisotropic profiles with minimal undercut were achieved near +10 °C, where carbon passivation and oxidative etching were balanced. These findings demonstrate that realizing high-aspect-ratio SU-8 structures with robust sidewall integrity necessitates careful, multivariable optimization of substrate temperature, gas chemistry, ion energy, and polymer pretreatment.

Keywords

SU8 etching; Low-temperature; Anisotropy; Carbon passivation; Thermal annealing.

Effect of Ar/N2 flow ratio on the microstructure, mechanical and electrical properties of γ-Mo2N thin films using mid-frequency magnetron sputtering

Sung-Yong Chun*

Department of Advanced Materials Engineering, Mokpo National University, Jeonnam 58554, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 97-103.

Abstract

Molybdenum nitride (γ-Mo2N) thin films were deposited on silicon (100) substrates using mid-frequency magnetron sputtering (MfMS), at selected Ar:N2 flow rates (10:1, 5:1 and 2:1 sccm). The effect of Ar:N2 flow rate on the microstructure, mechanical and electrical properties of the γ-Mo2N thin films were investigated using FESEM, XRD, AFM, nanoindentation tester, and semiconductor characterization system It was confirmed by XRD analysis that the thin films were produced is γ-Mo2N with face-centered cubic crystal structure. As the flow rate of Ar:N2 decreased down to 5:1 sccm, the growth rate of thin film thickness reduced from 1.24 μm/h to 1.13 μm/h while the crystallite size of γ-Mo2N thin film decreased from 15.2 nm to 10.1 nm. Consequently, the surface roughness of thin film was reduced from 3.2 nm to 2.7 nm. In addition, the γ-Mo2N thin films deposited at the Ar:N2 flow rate studied, at 5:1 sccm; are having the lowest resistivity (324 μΩcm) and the largest nano hardness (25.4 GPa). The results articulate that Ar:N2 flow rate was one of the important process parameters in mid-frequency magnetron sputtering that could affect the morphology, mechanical and electrical properties of γ-Mo2N thin films.

Keywords

Mid-frequency; Sputtering; Molybdenum nitride; Ar/N2 flow ratio; Thin Films

A Study on the Application of Atomic Layer Etching Using Radical Selective Adsorption and Ion Energy Control

Min Koo, Kyong-Nam Kim*

Department of Semiconductor Engineering, Daejeon University, Daejeon 34520, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 104-111.

Abstract

As the technology industry developed highly due to the Fourth Industrial Revolution, the demand and importance of high-performance semiconductor devices such as FinFET (Gate All Around), and 3D NAND Flash Memory increased. However, Since the existing dry etching is impossible to precisely etch, there is a limit to the manufacture of high-performance semiconductor devices. To solve this problem, Atomic layer etching (ALE), a new method of replacing the existing dry etching, has emerged. But the process time is long and when plasma is discharged in the adsorption step, other particles such as ions and electrons are generated in addition to radicals, and are accelerated by the electric field to unintentionally etch the surface. In this study, To solve the problems of surface damage and long process time, the ALE process technology which removes ions and electrons and selectively adsorbs radicals during the adsorption step was studied. In addition, precise etching was performed by controlling the amount of ion energy and ion flux in the desorption step through the bias driving frequency and pulse. This was applied to the atomic layer etching process. Using the Ion saturation current probe, It was confirmed that Ions and electrons could be grounded through mesh-gird and radicals could be selectively adsorbed by confirming that the Ion current generated during the adsorption step is zero. In addition, It was confirmed that Ion energy and Ion flux can be adjusted with bias frequency and pulse by analyzing the Ion energy distribution function with the Retarding Field Energy Analyzer. Thereafter, Atomic layer etching process was performed with an SOI wafer using radical selective adsorption and Ion energy control. As a result, EPC(Etch per cycle)s of 1.1Å/cycle were measured for both 12.56MHz, 41.68MHz, and 60MHz bias frequency at 7V. In the case of an atomic layer etching process while applying a bias in the form of a pulse, 1.1Å/cycle was confirmed when the pulse duty was 100% and 60%. At pulse duty 30%, the EPC was reduced at 12.56MHz, 41.68MHz. because the Ion flux required for etching was insufficient. But at 60MHz, ion flux was sufficient even at pulse duty 30%, the EPC of 1.1Å/cycle was confirmed. In this study, More precise etching was possible through radical selective adsorption using mesh-grid and Ion energy controll using bias driving frequency and pulse.

Keywords

Selective Adsorption; Ion Energy Control; Atomic Layer Etching.

Electrocatalytic performance of hollow structured nickel disulfide (NiS2) for hydrogen evolution reaction (HER) in acidic conditions

Jeonghun Lee, Hyeonjeong Cho, Bayaraa Sukhbaatar, Hyunsung Jung*

Nano convergence Materials Center, Emerging Materials R&D Division, Korea Institute of Ceramic Engineering and Technology (KICET)

The Korean Society of Surface Science and Engineering, Vol. 58, No. 2, pp. 112-118.

Abstract

The development of efficient catalysts for the hydrogen evolution reaction (HER) plays a critical role in water splitting for green hydrogen production. Due to the high cost of platinum, which is commonly used as an HER catalyst, extensive research has recently been conducted on non-noble metal-based catalysts. In this study, NiS2 catalysts were synthesized via a hydrothermal method as non-noble metal HER catalysts, and their electrochemical catalytic properties were investigated. The morphology of the hydrothermally synthesized NiS2 catalysts was controlled to form either hollow or non-hollow structures by varying the amount of sulfur precursor, L-cysteine. Structural analysis and electrochemical performance comparisons were conducted accordingly. Linear sweep voltammetry (LSV) revealed that the hollow-structured NiS2 catalysts exhibited improved overpotential performance. Furthermore, kinetic analysis using Tafel plots indicated enhanced hydrogen adsorption kinetics in the hollow-structured NiS2 catalysts compared to their non-hollow counterparts.

Keywords

Nickel sulfide; Hollow structure; Electrocatalyst; Hydrogen evolution reaction; Water splitting.

Vol. 58 No.3

Development trends and applications of coating technology for cutting tool in machining of difficult-to-cut materials

Sung bo Heo, In-Wook Park, Wang Ryeol Kim*

Korea Institute of Industrial Technology, Yangsan 50635, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 119-129.

Abstract

Since the 2000s, Arc Ion Plating (AIP) technology has been introduced to industry for the synthesis of nitride-based nanostructured coatings, and has been mainly applied to molds, cutting tools, and industrial components that require wear resistance and durability. Currently, plasma coating technologies for cutting tools in Korea have reached a high level of technological maturity, exhibiting excellent physical properties, and extensive research is ongoing depending on characteristics, materials, and processes. In particular, as seen from the perspectives of enhanced mechanical properties, oxidation resistance, wear resistance, and material science, the industrial advancement achieved through multifaceted research to extend the service life of these coatings is a critical factor. The utilization of cutting tools, encompassing both upstream and downstream industries, holds significant potential for future development when combined with next-generation coating technologies and advanced tool concepts, thereby forming the foundation for a sustainable manufacturing industry. In this study, we summarize the properties of materials defined as nanocomposite coatings synthesized using plasma sources, review trends and technologies as applied to the cutting tool industry, and discuss future directions for development.

Keywords

Arc ion plating, Friction, Super-Hardness, Microstructure, Nano-composite

Fabrication and Electrical Characterization of a Planar n+-PbSe Schottky Diode

Md. Rafiul Islam, Jewon Lee*

Department of Nanoscience and Engineering, Inje University, Gyeongsangnam-do, 50834, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 130-140.

Abstract

The fabrication of a planar n+-PbSe Schottky diode using magnetron sputtering and its subsequent comprehensive characterization are reported. A strong atomic Argon peak was achieved at 751.09 nm during co-sputtering of PbSe and Ni targets. Doping carrier concentration of 1.71x10¹⁹ cm⁻³ was obtained with the n+PbSe semiconductor. By studying the temperature-dependent current-voltage (I-V) characteristics across a range from 300 to 400 K, the diode’s ideality factor, barrier height, and Richardson constant were precisely obtained. This innovative analysis describes the forward and reverse biases, showing how temperature influences these important diode properties. The ideality factor markedly decreased from 3.10 to 1.45 as temperatures increased, signaling a move toward optimal diode functionality. Concurrently, the barrier height slightly rose from 0.44 eV to 0.54 eV in forward bias and from 0.61 eV to 0.77 eV in reverse bias, illustrating the diode’s proper thermal properties. Additionally, there was a substantial increase in the saturation current, which rose from 4.69x10-6 A to 2.25x10-5 A with the temperature change from 300 to 400 K. This result described the diode’s sensitivity to temperature variations, further highlighting its temperature dependence. The Richardson constant, calculated at 3.65x10-6 A/cm²K², fell substantially below the theoretical expectation due to potential inhomogeneities and fluctuations at the Metal-Semiconductor (MS) interface.

Keywords

Magnetron Co-Sputtering, PbSe, Schottky Diode, Hall Measurement, Richardson Constant

Deposition and Microstructural Characteristics of WO3-CoWO4-Based Nanostructured Ceramic Thin Films via Vacuum Kinetic Spraying

Chulwoong Han, Hyoseop Kim*

Research Institute of Intelligent Manufacturing & Materials Technology, Korea Institute of Industrial Technology(KITECH), Incheon 21999, Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 141-148.

Abstract

In this study, ceramic thin films were fabricated via VKS(Vacuum Kinetic Spraying) deposition using recycled WC-Co hard metal scrap, and the feasibility of the process was investigated. Since direct deposition of WC through VKS methods is challenging, isothermal oxidation and mechanical pulverization were employed to produce feedstock powders suitable for VKS. The oxidized powders were deposited onto Si wafers, resulting in dense thin films containing nanocrystalline WO₃ and CoWO₄ phases. Analysis of the feedstock and thin film grain sizes indicated that the impacting particles underwent fragmentation during deposition, which contributed to the densification of the lamellar structure. Additionally, impact-induced amorphization of the silicon substrate was found to enhance interfacial adhesion between the film and substrate. Micro-indentation tests on porous surface regions further revealed that repeated particle impingement promoted densification of the deposited lamellar layers.

Keywords

Hard metal scrap; Ceramic composites; Coating; WC-Co; Vacuum kinetic spray(aerosol deposition).

Influence of magnetron sputtering deposition rate on the surface roughness and electro-optical properties of SnO2 thin films

Sung-Bo Heoa, Jiho Kimb, Daeil Kimb*

aKorea Institute of Industrial Technology, Yangsan 50635, Republic of Korea bSchool of Materials Science and Engineering, University of ulsan, Ulsan 44776, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 149-153.

Abstract

The SnO2 thin films were deposited on glass substrates with radio frequency magnetron sputtering and then the influence of deposition rate on the visible right transmittance and electrical properties of the film were investigated. At the XRD analysis, while all the films didn’t show any characteristic diffraction peaks in the spectra with regardless of sputtering deposition rates, the films deposited at the deposition rate of 12.0 nm/Min. show a lower electrical resistivity of 2.76 Ω cm and a lower surface roughness of 1.57 nm. The visible transmittance is also varied with deposition rate. The average visible transmittance is enhanced from 72.18% (8.5 nm/Min.) to 73.86% (12.0 nm/Min.) and then decreased to 71.57% (15.1 nm/Min.). Due to the increased visible transmittance and decreased sheet resistance of the films, the film deposited at the deposition rate of 12.0 nm/Min. shows a higher figure of merit (2.98×10−7 Ω−1). From the results, it is concluded that the electrical and optical properties of the SnO2 films are can be enhanced at an ideal magnetron sputtering deposition rate conditions.

Keywords

SnO2, Deposition rate, Optical property, Electrical property, Surface roughness.

Adhesion Enhancement of Zn/Zn–Mg Coatings on TRIP Steel via EMH-PVD and Annealing

Sung Cheol Park, Sung-Min Kim*

Industrial Components of R&D Department, Korea Institute of Industrial Technology(KITECH), Incheon 21999, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 154-159.

Abstract

High-strength steels, including dual-phase (DP), transformation-induced plasticity (TRIP), and twinning-induced plasticity (TWIP) steels, are widely used in the automotive industry to reduce vehicle weight while maintaining mechanical performance. To improve surface adhesion of Zn–Mg alloy coatings on such steels, this study explores the effect of vacuum annealing on Zn/Zn–Mg bilayer coatings fabricated via electromagnetic heating physical vapor deposition (EMH-PVD). Although coatings with 15wt.% Mg initially showed poor adhesion (11 MPa) due to the formation of brittle intermetallics and an amorphous upper layer, vacuum annealing at 200 °C for 1 hour significantly improved adhesion strength to over 19 MPa. This enhancement is attributed to interdiffusion at the interface and partial crystallization of the Zn–Mg top layer, resulting in a compositionally intermixed interfacial region. These microstructural changes promote improved mechanical interlocking and bonding strength. The post-deposition thermal treatment can effectively overcome adhesion limitations in high-Mg Zn–Mg coatings on advanced high-strength steels.

Keywords

Zn-Mg coatings; Adhesion strength; Heat treatment; Electro-magnetic heating deposition

The relationship between glass composition and chemical strengthening effect of sodium aluminosilicate glasses

Jeongho Choa,b, Jaeyeop Chunga, Bongki Ryub*

aDisplay Materials Center, Korea Institute of Ceramic Engineering and Technology, Jinju 52851, Korea bDepartment of Materials Science and Engineering, Pusan National University, Busan 46241

The Korean Society of Surface Science and Engineering, Vol. 58, No. 3, pp. 160-165.

Abstract

In this study, the effect of Al2O3 content on the physical, mechanical, thermal, and structural properties of sodium aluminosilicate glasses were investigated. Five glass compositions were synthesized by varying the [Al2O3]/[SiO2] molar ratio, and the mechanical properties before and after ion exchange were analyzed. As the Al2O3 content increased, the density, and vickers hardness of the glasses increased linearly due to the decrease in non-bridging oxygen and the densification of the glass network. Additionally, CS and DOL were measured to further assess the chemical strengthening properties. The glass transition temperature (Tg) also increased linearly. FT-IR spectra showed that the fraction of Q3 and Q4 units of [SiO4] tetrahedra increased which means the decrease of the amount of NBOs in glass network. These results demonstrate that Al2O3 plays an important role in improving the structural integrity and mechanical properties of alkali aluminosilicate glasses.

Keywords

Alumino silicate glass; Ion exchange; Chemical strengthening; Hardness.

  • Vol. 57 No.1
  • Vol. 57 No.2
  • Vol. 57 No.3
  • Vol. 57 No.4
  • Vol. 57 No.5
  • Vol. 57 No.6

Vol. 57 No.1

Study on the growth of boron-doped diamond films in relation to pretreatment processes

Mi Young Youa, Song Hyeon Leeb, Pung-Keun Songb,*

aThe Institute of Materials Technology, Pusan National University, Busan 46241, Korea bDepartment of Materials Science and Engineering, Pusan National University, Busan 46241, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 1-7.

Abstract

The study investigated the impact of substrate pretreatment on depositing high-quality B-doped diamond (BDD) thin films using the HFCVD method. Films were deposited on Si and Nb substrates after sanding and seeding. Despite identical sanding conditions, BDD films formed faster on Nb due to even diamond seed distribution. Post-deposition, film average roughness (Ra) remained similar to substrate Ra, but higher substrate Ra led to decreased crystallinity. Nb substrate with 0.83 μm Ra exhibited faster crystal growth due to dense, evenly distributed diamond seeds. BDD film on Nb with 0.83 μm Ra showed a wide, stable potential window (2.8 eV) in CV results and a prominent 1332 cm-1 diamond peak in Raman spectroscopy, indicating high quality. The findings underscore the critical role of substrate pretreatment in achieving high-quality BDD film fabrication, crucial for applications demanding robust p-type semiconductors with superior electrical properties.

Keywords

Boron-doped diamond; HF-CVD; Sanding process; Seeding process; Potential window

Dielectric breakdown of anodic oxide films formed on AA6061 in 20% H2SO4 and 8% H2SO4+ 3% C2H2O4 solutions

Cheolgi Parka, Jaehwak Janga, Yunsuk Hyuna and Sungmo Moonb,c*

aR&D Center, As Tech, Republic of Korea bSurface Technology Division, Korea Institute of Materials Science, Republic of Korea cAdvanced Materials Engineering, Korea University of Science and Technology, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 8-13.

Abstract

Anodizing of Al6061 alloy was conducted in two different electrolytes of 20% sulfuric acid and 8% sulfuric acid + 3 % oxalic acid solutions at a constant current or decreasing current density conditions, and its dielectric breakdown voltage was measured. The surface morphology of anodic oxide films was observed by TEM and thermal treatment was carried out at 400 ℃ for 2 h to evaluate the resistance of the anodic oxide films to crack initiation. The anodic oxide film formed in 8% sulfuric acid + 3 % oxalic acid solution showed higher dielectric breakdown voltage and better resistance to crack initiation at 400 ℃ than that formed in 20% sulfuric acid solution. The dielectric breakdown voltage increased 6 ~12% by applying decreasing current density comparing with a constant current density.

Keywords

Anodizing; Oxide film; Al6061 alloy; Constanct current density; Decreasing current density.

The Effect of Aluminum Element on the Surface Properties of CrAlN Coating Film Deposited via Arc Ion Plating

Jae-Un Kima, Byeong-Seok Lima, Young-Shin Yuna, Byung-Woo Ahna, Han-Cheol Choeb,*

aPlasma Coating R & D Center, JNLTECH Co., Ltd., Gwangju, Korea bDepartment of Dental Materials, College of Dentistry, Chosun University, Gwangju, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 14-21.

Abstract

For this study, CrAlN multilayer coatings were deposited on SKD61 substrates using a multi-arc ion plating technique. The structural characteristics of the CrAlN multilayer coatings were evaluated using X-ray diffraction (XRD) and Scanning Electron Microscopy (SEM). Additionally, the adhesion of the coatings was assessed through scratch testing, and the mechanical strength was evaluated using nanoindentation and tribometric tests for frictional properties. The results show that the CrAlN multilayer coatings possess a uniform and dense structure with excellent mechanical strength. Hardness measurements indicated that the CrAlN coatings have high hardness values, and both the coating adhesion and wear resistance were found to be improved compared to CrN. The addition of aluminum is anticipated to contribute to enhanced durability and wear resistance.

Keywords

CrAlN Coating; PVD; Linear ion source; Mass Production.

Investigation of direct growth behavior of carbon nanotubes on cathode powder materials in lithium-ion batteries

Hyun-Ho Han, Jong-Hwan Lee, Goo-Hwan Jeong*

Interdisciplinary Program in Advanced Functional Materials and Devices Development, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 22-30.

Abstract

This study reports a direct growth of carbon nanotubes (CNTs) on the surface of LiCoO2 (LCO) powders to apply as highly efficient cathode materials in lithium-ion batteries (LIB). The CNT synthesis was performed using a thermal chemical vapor deposition apparatus with temperatures from 575 to 625 °C. Ferritin molecules as growth catalyst of CNTs were mixed in deionized (DI) water with various concentrations from 0.05 to 1.0 mg/mL. Then, the LCO powders was dissolved in the ferritin solution at a ratio of 1g/mL. To obtain catalytic iron nanoparticles on the LCO surface, the LCO-ferritin suspension was dropped in silicon dioxide substrates and calcined under air at 550°C. Subsequently, the direct growth of CNTs on LCO powders was performed using a mixture of acetylene (10 sccm) and hydrogen (100 sccm) for 10 min. The growth behavior was characterized by scanning and transmission electron microscopy, Raman scattering spectroscopy, X-ray diffraction, and thermogravimetric analysis. The optimized condition yielding high structural quality and amount of CNTs was 600 °C and 0.5 mg/mL. The obtained materials will be developded as cathode materials in LIB.

Keywords

Direct growth; Carbon nanotubes; LCO powder; Cathode materials; Lithium ion batteries.

Study on Lithium Extraction Using Cellulose Nanofiber

Raeil Jeong , Jinsub Choi*

Department of Chemistry and Chemical Engineering, Inha University, 22212 Incheon, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 31-37.

Abstract

The surge in demand for lithium is primarily fueled by the expanding electric vehicle market, the necessity for renewable energy storage, and governmental initiatives aimed at achieving carbon neutrality. This study proposes a straightforward method for lithium extraction utilizing cellulose nanofiber (CNF) via a vacuum filtration process. This approach yields a porous CNF film, showcasing its potential utility as a lithium extractor and indicator. Given its abundance and eco-friendly characteristics, cellulose nanofiber (CNF) emerges as a material offering both economic and environmental advantages over traditional lithium extraction techniques. Hence, this research not only contributes to lithium recovery but also presents a sustainable solution to meet the growing demand for lithium in energy storage technologies.

Keywords

Cellulose nanofiber (CNF); Lithiation; Lithium extraction; Lithium indicator.

Highly ordered In2O3 zig-zag nanocolumns for selective detection of acetone

Jae Han Chunga, Ho-Gyun Kima, Yun-Haeng Choa, Junho Hwangb, See-Hyung Parka, Sungwoo Sohnb, Su Bin Junga, Eunsol Leea, Kwangjae Leec,*, Young-Seok Shima,*

aSchool of Energy, Materials and Chemical Engineering, Korea University of Technology and Education, Cheonan, 31253, Republic of Korea bDepartment of Materials Science and Engineering, Yonsei University, 50 Yonseiro, Seodaemun-gu, Seoul, 03722, Republic of Korea cDepartment of Information Security Engineering, Sangmyung University Cheonan, 31066, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 38-48.

Abstract

We fabricated In2O3 zig-zag nanocolumns(ZZNCs) by oblique angle deposition method based on e-beam evaporator for highly sensitive and selective CH3COCH3 sensor. Our results indicate that as the ZZNCs layer stacks, the gas response also increases. In comparison to thin films, ZZNCs at 5 layer show a 117-fold enhancement in gas response and a rapid response time (~2 s). When measured with various gases, it showed a high selectivity towards acetone. Under conditions of R.H. 80%, exposure to CH3COCH3 gas theoretically indicated a detection limit of 1.2 part-per-billion(ppb). These results suggest the potential of In2O3 ZZNCs as a breath analyzer for the diagnosis of diabetes.

Keywords

Deposition of aluminum nitride nanopowders and fabrication of superhydrophobic surfaces

Kwangseok Leea, Heon-Ju Choib, and Handong Chob,*

aAlternative Fuels and Power System Research Center, Korea Research Institute of Ships & Ocean Engineering (KRISO), Daejeon 34103, Korea bDepartment of Mechanical Engineering, Mokpo National University, Jeonnam 58554, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 1, pp. 49-56.

Abstract

Superhydrophobic surfaces have been expected to be able to provide considerable performance improvements and introduce innovative functions across diverse industries. However, representative methods for fabricating superhydrophobic surfaces include etching the substrate or attaching nano-sized particles, but they have been limited by problems such as applicability to only a few materials or low adhesion between particles and substrates, resulting in a short lifetime of superhydrophobic properties. In this work, we report a novel coating technique that can achieve superhydrophobicity by electrophoretic deposition of aluminum nitride (AlN) nanopowders and their self-bonding to form a surface structure without the use of binder resins through a hydrolysis reaction. Furthermore, by using a water-soluble adhesive as a temporary shield for the electrophoretic deposited AlN powders, hierarchical aluminum hydroxide structures can be strongly adhered to a variety of electrically conductive substrates. This binder-free technique for creating hierarchical structures that exhibit strong adhesion to a variety of substrates significantly expands the practical applicability of superhydrophobic surfaces.

Keywords

Superhydrophobic surface; Aluminum nitride nanopowder; Electrophoretic deposition; Hydrolysis reaction

Vol. 57 No.2

Performance variation of Nickel-Cobalt-Manganese lithium-ion battery by cathode surface coating materials

JinUk Yoo, Sung Gyu Pyo*

Department of Integrative Engineering, Chung-Ang University, 84, Heukseok-ro, Dongjak-gu, Seoul, 06974, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 57-70.

Abstract

Nickel-cobalt-manganese (NCM) lithium-ion batteries(LIBs) are increasingly prominent in the energy storage system due to their high energy density and cost-effectiveness. However, they face significant challenges, such as rapid capacity fading and structural instability during high-voltage operation cycles. Addressing these issues, numerous researchers have studied the enhancement of electrochemical performance through the coating of NCM cathode materials with substances like metal oxides, lithium composites, and polymers. Coating these cathode materials serves several critical functions: it acts as a protection barrier against electrolyte decomposition, mitigates the dissolution of transition metals, enhances the structural integrity of the electrode, and can even improve the ionic conductivity of the cathode. Ultimately, these improvements lead to better cycle stability, increased efficiency, and enhanced overall battery life, which are crucial for the advancement of NCM-based lithium-ion batteries in high-demand applications. So, this paper will review various cathode coating materials and examine the roles each plays in improving battery performance.

Keywords

Lithium ion batteries; surface coating; cathode; electrochemical performance.

Surface analysis using Raman spectroscopy during semiconductor processing

Tae Min Choi, JinUk Yoo, Eun Su Jung, Chae Yeon Lee, Hwa Rim Lee, Dong Hyun Kim, Sung Gyu Pyo*

School of Integrative Engineering, Chung-Ang University

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 71-85.

Abstract

This article provides an overview of Raman spectroscopy and its practical applications for surface analysis of semiconductor processes including real-time monitoring. Raman spectroscopy is a technique that uses the inelastic scattering of light to provide information on molecular structure and vibrations. Since its inception in 1928, Raman spectroscopy has undergone continuous development, and with the advent of SERS(Surface Enhanced Raman Spectroscopy), TERS(Tip Enhanced Raman Spectroscopy), and confocal Raman spectroscopy, it has proven to be highly advantageous in nano-scale analysis due to its high resolution, high sensitivity, and non-destructive nature. In the field of semiconductor processing, Raman spectroscopy is particularly useful for substrate stress and interface characterization, quality analysis of thin films, elucidation of etching process mechanisms, and detection of residues.

Keywords

Raman Spectroscopy; Semiconductor Processing; Surface analysis; In-situ monitoring.

Effect of Na2SiO3 concentration on PEO film formation of Al6061 alloy

Byung Geon Leea, Young Uk Hana, Gibum Jangb, Sung Youl Choa,*

aR&D center, YKMC Inc., bYKMC Inc.

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 86-91.

Abstract

In this study, we investigated the effect of Na2SiO3 concentration on the Plasma Electrolytic Oxidation(PEO) film formation of Al6061 alloy. The morphology of the PEO films were examined by Optical Microscope(OM) and Scanning Electron Microscope(SEM). PEO film thickness increases as the Na2SiO3 concentration increases. The elemental analysis of PEO films was conducted using Dispersive X-ray Spectrometer(EDS). The cross-sectional elemental analysis result shows that the Si concentration tends to increase as the concentration of Na2SiO3 increases. X-Ray Diffraction(XRD) analysis was performed to confirm the degree of phase change according to Na2SiO3 concentration. In addition, Vickers hardness was measured to confirm the mechanical strength of the PEO film. As the concentration of Na2SiO3 increases, the hardness value also tends to increase.

Keywords

Plasma electrolytic oxidation; Unipolar pulse; Al6061; Sodium silicate

Removal of Cu impurities in LiBr solution using cyclone electrowinning method

Da Jung Parka, Kyu Hwan Leea,b*

aKorea Institute of Materials Science (KIMS), Surface Technology Division, bAdvanced Materials Engineering, University of Science and Technology (UST)

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 92-97.

Abstract

The LiBr aqueous solution, which is the absorption liquid of absorption refrigerator, must be replaced periodically because the concentration of impurities such as Cu2+, Fe2+, Ca2+, etc., increases due to corrosion of the tubes as the period of use increases, and the refrigeration efficiency decreases significantly. In order to reuse the waste absorption liquid, flocculation-precipitation method is mainly applied to precipitate the impurities, which requires hundreds of times the concentration of impurities and generates additional waste. In this study, a process for removing Cu ion impurities from cyclone electrolyzer by electrolytic reduction is presented in a small-scale facility without additional waste. It was confirmed that Cu ion impurities can be removed down to 1 ppm by electrolytic reduction process, and to further improve the removal rate, the mass transfer rate was increased by using a cyclone electrolyzer. The removal rate of Cu ions increased with the increase of flow rate and current density, and it was confirmed that Cu was removed at a rate of 1.48 ppm/h under the condition of 330 mL/sec and 2.5 mA/cm2.

Keywords

Absorption refrigerator, Lithium bromide, Copper ion impurity, Electrowinning, Cyclone

The development of highly functional paints improving NIR reflectance by investigating silica particles size for pigment mixing

Eunseok Wooa, Yunseok Noha, Jinho Leea, Yong-Wook Choib*, Bora Kima*

aEA Bios Corporation, bEnergy System Group, Korea Institute of Industrial Technology (KITECH)

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 98-104.

Abstract

In order to overcome the urban heat island effect, highly functional paint is attracting attention as a promising means by shielding heat on the structure (building) surface. When a paint was prepared containing nano-sized silica particles, the heat-insulating performance was relatively higher than that of paints with other sizes. In addition, developed paints showed enhanced properties such as chemical resistance and abrasion resistance test because of the presence of nano-sized silica included in functional paint.

Keywords

Nano silica, Size trend, Infrared reflective coating, Urban heat island, Surface treatment

Effect of tempering conditions on the tempering behavior and mechanical properties of tempered H13 steel

Gi-Hoon Kwona,c, Byoungho Choia, Yoon-Ho Sonb, Young-Kook Leec, Kyoungil Moona,*

aHeat & Surface Technology R&D Group, Korea Institute of Industrial Technology, bYujin SMC Co., cDepartment of Materials Science and Engineering, Yonsei University

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 105-114.

Abstract

Tempering behavior and mechanical properties in AISI H13 steel, quenched and tempered from 300 ℃ to 700 ℃ for different tempering time (1, 2, 5, 10, 20 hr) were quantitatively investigated by scanning electron microscopy (SEM), x-ray diffractometer (XRD), impact test machine, rockwell apparatus, ball-on-disk tester. Under the condition that the tempering time is 2 hours, the hardness increases slightly as the tempering temperature increases, but decreases rapidly when the tempering temperature exceeds 500 ℃, while the impact energy increases in proportion to the tempering temperature. Friction tests were conducted in dry condition with a load of 30 N, and the friction coefficient and wear rate according to tempering conditions were measured to prove the correlation with hardness and microstructure. In addition, primary tempering from 300 ℃ to 700 ℃ was performed at various times to establish a kinetic model to predict hardness under specific tempering conditions.

Keywords

hot-work steel; tempering condition; impact energy; wear resistance

Understanding the Mechanism of Solid Electrolyte Interphase Formation Mediated by Vinylene Carbonate on Lithium-Ion Battery Anodes

Jinhee Leea, Ju-Yoon Jeonga, Jaeyun Haa,b, Yong-Tae Kimc,*, Jinsub Choia,b,*

a Department of Chemistry and Chemical Engineering, Inha University, b Core Facility Center for Sustainable Energy Materials, Inha University, c Department of Chemical and Biomolecular Engineering, Chonnam National University

The Korean Society of Surface Science and Engineering, Vol. 57, No. 2, pp. 115-124.

Abstract

In advancing Li-ion battery (LIB) technology, the solid electrolyte interface (SEI) layer is critical for enhancing battery longevity and performance. Formed during the charging process, the SEI layer is essential for controlling ion transport and maintaining electrode stability. This research provides a detailed analysis of how vinylene carbonate (VC) influences SEI layer formation. The integration of VC into the electrolyte markedly improved SEI properties. Moreover, correlation analysis revealed a connection between electrolyte decomposition and battery degradation, linked to the EMC esterification and dicarboxylate formation processes. VC facilitated the formation of a more uniform and chemically stable SEI layer enriched with poly(VC), thereby enhancing mechanical resilience and electrochemical stability. These findings deepen our understanding of the role of electrolyte additives in SEI formation, offering a promising strategy to improve the efficiency and lifespan of LIBs.

Keywords

Lithium-ion battery; Solid electrolyte interface layer; Electrolyte decomposition; Vinylene carbonate

Vol. 57 No.3

A review : atomic layer etching of metals

Yun Jong Janga, Hong Seong Gila, Gyoung Chan Kima, Ju Young Kimc, Chang Woo Parka, Do Seong Pyund, Ji Yeon Leed, Geun Young Yeoma,b,c*

a School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea b SKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Suwon 16419, Republic of Korea c Department of Photovoltaic System Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea d Department of Semiconductor Display Engineering, Sungkyunkwan University, Suwon 16419, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 125-139.

Abstract

As the limits of semiconductor integration are approached, the challenges in semiconductor processes have intensified. And, for the production of semiconductors with dimensions under a few nanometers and to resolve the issues related to nanoscale device fabrication, research on atomic layer etching (ALE) technology has been conducted. The investigation related to ALE encompasses not only silicon and dielectric materials but also metallic materials. Particularly, there is an increasing need for ALE in next-generation metal materials that could replace copper in interconnect materials. This brief review will summarize the concept and methods of ALE and describe recent studies on potential next-generation metal replacements for copper, along with their ALE processes.

Keywords

atomic layer etching (ALE); metal; plasma ALE; thermal ALE.

Investigation on the Effect of Corrosion Inhibitor on Removal Rate and Surface Characteristic of Cobalt Chemical Mechanical Polishing

Eun Su Jung, Sung Gyu Pyo*

School of Integrative Engineering, Chung-Ang University, 84, Heukseok-ro, Dongjak-gu, Seoul, 06974, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 140-154.

Abstract

As the trend towards miniaturization in semiconductor integration process, the limitations of interconnection metals such as copper, tungsten have become apparent, prompting research into the emergence of new materials like cobalt and emphasizing the importance of studying the corresponding process conditions. During the chemical mechanical polishing (CMP) process, corrosion inhibitors are added to the slurry, forming passivation layers on the cobalt surface, thereby playing a crucial role in controlling the dissolution rate of the metal surface, enhancing both removal rate and selectivity. This review investigates the understanding of the cobalt polishing process and examines the characteristics and behavior of corrosion inhibitors, a type of slurry additive, on the cobalt surface. Among the corrosion inhibitors examined, benzotriazole (BTA), 1,2,4-triazole (TAZ), and potassium oleate (PO) all improved surface characteristics through their interaction with cobalt. These findings provide important guidelines for selecting corrosion inhibitors to optimize CMP processes for cobalt-based semiconductor materials. Future research should explore combinations of various corrosion inhibitors and the development of new compounds to further enhance the efficiency of semiconductor processes.

Keywords

Chemical Mechanical Polishing; Corrosion Inhibitor; Passivation layer; Slurry.

A Study on the Synthesis and Characteristics of Carbon Nanomaterials by Thermal Plasma

Seong-Pyo Kang, Tae-Hee Kim*

Department of Chemical Engineering, Wonkwang University, Iksan 54538, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 155-164.

Abstract

Physical properties of carbon nanomaterials are dependent on their nanostructures and they are modified by diverse synthesis methods. Among them, thermal plasma method stands out for synthesizing carbon nanomaterials by controlling chemical and physical reactions through various design and operating conditions such as plasma torch type, plasma gas composition, power capacity, raw material injection rate, quenching rate, kinds of precursors, and so on. The method enables the production of carbon nanomaterials with various nanostructures and characteristics. The high-energy integration at high-temperature region thermal plasma to the precursor is possible to completely vaporize precursors, and the vaporized materials are rapidly condensed to the nanomaterials due to the rapid quenching rate by sharp temperature gradient. The synthesized nanomaterials are averagely in several nanometers to 100 nm scale. Especially, the thermal plasma was validated to synthesize low-dimensional carbon nanomaterials, carbon nanotubes and graphene, which hold immense promise for future applications.

Keywords

carbon nanomaterials; synthesis; thermal plasma; nanomaterials.

Research Trend of High Aspect Ratio Contact Etching used in Semiconductor Memory Device Manufacturing

Hyun-Woo Taka, Myeong-Ho Parkb, Jun-Soo Leec, Chan-Hyuk Choib, Bong-Sun Kima, Jun-Ki Janga, Eun-Koo Kimd, Dong-Woo Kima, Geun-Young Yeoma,b,c,*

aSchool of Advanced Materials Science and Engineering, Sungkyunkwan University, Gyeonggi-do 16419, Korea bSKKU Advanced Institute of Nano Technology (SAINT), Sungkyunkwan University, Gyeonggi-do 16419, Korea cDepartment of Photovoltaic System Engineering, Sungkyunkwan University, Gyeonggi-do 16419, Korea dDepartment of Semiconductor and Display Engineering, Sungkyunkwan University, Gyeonggi-do 16419, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 165-178.

Abstract

In semiconductor memory device manufacturing, the capability for high aspect ratio contact (HARC) etching determines the density of memory device. Given that there is no standardized definition of "high" in high aspect ratio, it is crucial to continuously monitor recent technology trends to address technological gaps. Not only semiconductor memory manufacturing companies such as Samsung Electronics, SK Hynix, and Micron but also semiconductor manufacturing equipment companies such as Lam Research, Applied Materials, Tokyo Electron, and SEMES release annual reports on HARC etching technology. Although there is a gap in technological focus between semiconductor mass production environments and various research institutes, the results from these institutes significantly contribute by demonstrating fundamental mechanisms with empirical evidence, often in collaboration with industry researchers. This paper reviews recent studies on HARC etching and the study of dielectric etching in various technologies.

Keywords

High Aspect Ratio Contact(HARC) Etching; Dielectric Etching; Silicon Oxide Etching; Silicon Nitride Etching; Memory Device Manufacturing.

Enhanced Photocatalytic Disinfection Efficiency through TiO2/WO3 Composite Synthesis and Heat Treatment Optimization

Sang-Hee Kima, Seo-Hee Kimb, Jun Kangc, Myeong-Hoon Leed, Yong-Sup Yuna,*

aDepartment of Coast Gurad Sutdies, National Korea Maritime and Ocean University, Busan 49112, Korea bDepartment of Ocean Advanced Materials Convergence Engineering, National Korea Maritime and Ocean University, Busan 49112, Korea cDepartment of Marine System Engineering, National Korea Maritime and Ocean University, Busan 49112, Korea dKorea Institute of Corrosion Science and Technology, National Korea Maritime and Ocean University, Busan 49112, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 179-191.

Abstract

This study focuses on improving the photocatalytic degradation efficiency by synthesizing a TiO2/WO3 composite. Given the environmental significance of photocatalysis and the limitations posed by TiO2's large bandgap and high electron recombination rate, we explored doping, surface modification, and synthesis strategies. The composite was created using a ball mill process and heat treatment, analyzed with field emission scanning electron microscope, high resolution X-ray diffraction, Raman microscope, and UV-Vis/NIR spectrometer to examine its morphology, composition and absorbance. We found that incorporating WO3 into the TiO2 lattice forms a Wx-Ti1-x-O2 solution, with optimal WO3 content reducing the band gap and enhancing sterilization efficiency by inhibiting the anatasese to rutile transition. This contributes to the field by offering a way to overcome TiO2's limitations and improve photocatalytic performance.

Keywords

TiO2; WO3; Photocatalysis; Ball mill; Heat treatment

Corrosion characteristics in stress and various environments with Sn addition to Cu pipe

Serim Kima, Uijun Kima, Myeonghoon Leeb, Seunghyo Leea,*

aKorea Maritime and Ocean University, Department of Ocean Advanced Materials Convergence Engineering, Busan 49112, Korea bKorean Institute of Corrosion Science and Technology, Busan 49112, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 192-200.

Abstract

Cu as a heat exchanger tube is an important component in thermal fluid transfer. However, Cu tubes are exposed to stress in certain environments, leading to stress corrosion cracking (SCC). In this study, the effect of Sn addition on microstructure and corrosion characteristics was examined. The microstructural examination revealed the presence of columnar crystal and a grain refinement due to the addition of Sn. Electrochemical measurements showed that the 5 wt.% NH3 environment was the most vulnerable environment to Cu corrosion, and the corrosion current density increased as stress increased. The immersion test exhibited the formation of Cu2O and Cu(OH)2 corrosion product in 3.5 wt.% NaCl and 5 wt.% NH3 environments, respectively. Results indicated that Sn addition to Cu was an important factor in improving the mechanical strength.

Keywords

Cu tube; Sn addition; U-bending; Stress corrosion cracking (SCC); Immersion test; Corrosion characteristics.

Comparison of chemical resistance properties of anodized film according to anodized sealing treatment method of Al6061 alloy

Young Uk Hana, Sang Sub Leea, Jun Seok Leea, Gibum Jangb, Sung Youl Choa,*

aR&D center, YKMC Inc., 77-34 Yeonamyulgeum-ro, Asan 31413, Korea bYKMC Inc., 161, Asanvalleyjungang-ro, Asan 31409, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 201-207.

Abstract

This study compared the chemical resistance properties according to various sealing treatment methods for the anode film formed during the anodization process of Al6061 alloy. Al6061 aluminum was used in four different sealing treatment methods: boiling water sealing, lithium sealing, nickel sealing, and pressurized sealing, and each sample was evaluated for corrosion resistance through a 5% HCl bubble test and the microstructure was observed through a scanning electron microscope(SEM). According to the results, corrosion resistance increased as time and temperature increased in all sealing treatment methods. Relatively, corrosion resistance was high in the order of boiling water sealing, lithium sealing, nickel sealing, and pressure sealing, and the best corrosion resistance was found in pressure sealing. These research results can be helpful in selecting a process necessary to improve the efficiency and performance of anodizing process in the industrial field using aluminum alloys.

Keywords

Anodizing; Oxide film; Al6061 alloy; Sulfuric acid anodizing; Sealing; Acid resistance; Hydrogen Chloride bubble stream.

A Study on Monitoring Technology to Improve the Reliability of Etching Processes

Kyongnam Kim*

Department of Semiconductor Engineering, Daejeon University, Daejeon 34520, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 208-213.

Abstract

With the development of industry, miniaturization and densification of semiconductor components are rapidly progressing. Particularly, as demand surges across various sectors, efficiency in productivity has emerged as a crucial issue in semiconductor component manufacturing. Maximizing semiconductor productivity requires real-time monitoring of semiconductor processes and continuous reflection of the results to stabilize processes. However, various unexpected variables and errors in judgment that occur during the process can cause significant losses in semiconductor productivity. Therefore, while the development of a reliable manufacturing system is important, the importance of developing sensor technology that can complement this and accurately monitor the process is also growing. In this study, conducted a basic research on the concept of diagnostic sensors for thickness based on the physical changes of thin films due to etching. It observed changes in resistance corresponding to variations in thin film thickness as etching processes progressed, and conducted research on the correlation between these physical changes and thickness variations. Furthermore, to assess the reliability of thin film thickness measurement sensors, it conducted multiple measurements and comparative analyses of physical changes in thin films according to various thicknesses.

Keywords

Plasma; Atomic Layer Etching; Thickness; Thin Film, Sensor.

Design of silicon-graphite based composite electrode for lithium-ion batteries using single-walled carbon nanotubes

Jin-young Choia, Jeong-min Choib, Seung-Hyo Leec, Jun Kangd, Dae-Wook Kima, Hye-Min Kimd*

aLow-carbon Energy Group, Ulsan Division, Korea Institute of Industrial Technology, Ulsan 44413, Korea bInterdisciplinary Major of Maritime AI Convergence, Korea Maritime and Ocean University, Busan 49112, Korea cDivision of Ocean Advanced Materials Convergence Engineering, Korea Maritime & Ocean University, Busan 49112, Korea dDivision of Marine System Engineering, Korea Maritime and Ocean University, Busan 49112, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 214-220.

Abstract

In this study, three-dimensional (3D) networks structure using single-walled carbon nanotubes (SWCNTs) for Si-graphite composite electrode was developed and studied about effects on the electrochemical performances. To investigate the effect of SWCNTs on forming a conductive 3D network structure electrode, zero-dimensional (0D) carbon black and different SWCNTs composition electrode were compared. It was found that SWCNTs formed a conductive network between nano-Si and graphite particles over the entire area without aggregation. The formation of 3D network structure enabled to effective access for lithium ions leading to improve the c-rate performance, and provided cycle stability by alleviating the Si volume expansion from flexibility and buffer space. The results of this study are expected to be applicable to the electrode design for high-capacity lithium-ion batteries.

Keywords

Silicon; Composite electrode; Lithium-ion batteries; Carbon nanotube; 3D network.

Study on Corrosion Resistance Enhancement in STS 304 through Electrochemical Polishing

JaeHwan Oh, WooHyuk Kim, HyeWon Cho, ByungKwan Park, SangHwa Yoon, Bongyoung Yoo*

Department of Materials Science and Chemical Engineering, Hanyang University, Ansan 15588, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 3, pp. 221-224.

Abstract

The 304 stainless steel has good corrosion resistance, so it is used in various industries. However, in an environment like seawater, stainless steel can be damaged by chloride ions, resulting in surface corrosion such as pitting and crevice corrosion. Electropolishing is a technique that smooths the surface and creates a passivation layer that can resist corrosion. In this study, electropolishing was applied as a surface finish to increase the smoothness of the metal surface and its corrosion resistance. We confirmed the topology of the electropolished surface of stainless steel by optical microscope and evaluated the corrosion resistance characteristics of electropolished stainless steel through a potentiodynamic experiment.

Keywords

STS 304; Electropolishing; Corrosion resistance.

Vol. 57 No.4

Understanding and trends in plastic plating technology

Jiwang Noh, Ingyeong Bae, Hyunwoo Kim, Sunkyu Kim*

Department of Industrial Chemistry, Pukyong National University, Busan 48513, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 225-233.

Abstract

The plastic plating process refers to coating a thin metal film onto a plastic surface. This technique has become essential for replacing costly metal products while maintaining equivalent performance, making plastic plating a critical technology. This paper presents an overview of the methods and future prospects of plastic plating.

Keywords

Plastic plating; Electroplating; Electroless plating; 3D printing

Research trends and Efficacy Analysis of Surface Characteristics of Bone Grafts

Yong-Hoon Jeong, Gye-Wook Lee, Tae-Gon Jung*

Department of Medical Device Development Center, Osong Medical Innovation Foundation (KBIOHealth), Cheongju 28160, South Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 234-253.

Abstract

As the population ages, the importance of effective bone disease treatments is increasing, highlighting the role of bone grafts. Bone grafts are categorized into natural (autografts, allografts, xenografts) and synthetic (ceramics, polymers). Natural grafts have excellent regenerative abilities but pose biological risks, while synthetic grafts are biocompatible but less effective in regeneration. Various studies aim to enhance the safety and efficacy of bone grafts, significantly altering their surface properties. This review examines these studies and the resulting surface changes, aiming to guide future research and clinical applications.

Keywords

Bone graft, Surface characteristic, Surface effectiveness, Bone regeneration, Biomaterials

A Review on Dielectric Breakdown of Anodic Oxide Films on Aluminum Alloys

Hien Van Phama,b, Cheolnam Yanga and Sungmo Moona,b,*

aEnergy & Environment Materials Research Division, Korea Institute of Materials Science, 797 Changwondaero, Seongsan-gu, Changwon, Gyeongnam 51508, Korea bAdvanced Materials Engineering, Korea University of Science and Technology, 217 Gajeong-ro, Yuseonggu, Daejeon 34113, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 254-264.

Abstract

This paper reviews the dielectric breakdown resistance and behavior of anodic oxide films in air environment. It begins with a description of the dielectric breakdown mechanisms of dielectric materials. The paper then introduces different types of dielectric materials and compares them in terms of dielectric strength, thermal conductivity, mechanical strength and cost. Next, the paper summarizes various fabrication methods for dielectric aluminum oxide layers, discussing the advantages and disadvantages of each method. Finally, it provides an overview of current studies on the dielectric breakdown properties of anodic aluminum oxide films formed on different aluminum alloys in various electrolytes.

Keywords

Aluminum alloys, Anodic oxide film, Dielectric breakdown, Dielectric material

Study of an electrochemical analysis method for Indole-3-Acetic Acid based on reduced graphene oxide composite catalyst coated screen-printed carbon electrode

Yoo-Jin Weona,c, Min-Yeong Kima, Young-Bae Parkc* and Kyu Hwan Leea,b*

aSurface Technology Division, Korea Institute of Materials Science, 797 Changwon-daero, Seongsan-gu, Changwon, Gyeongsangnam-do, 51508, Republic of Korea bAdvenced Materials Engineering, Korea University of Science and Technology, 217 Gajeong-ro, Yuesong-gu, Changwon, Deajeon 34113, Republic of Korea cSchool of Materials Science and Engineering, Andong National University, 1375, Gyeongdong-ro, Andong-si, Gyeongsangbuk-do, 36729, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 265-273.

Abstract

An amperometric sensor for measuring indole-3-acetic acid (IAA) was studied based on a screen-printed carbon electrode (SPCE) coated with a reduced graphene oxide composite electrocatalyst. The PEI-GO dispersion is uniformly formed through a nucleophilic substitution reaction between the active amine group of Polyethyleneimine (PEI) and the epoxide group exposed on the surface of graphene oxide. And The 3-dimensional PEI-rGO AG (Polyethyleneimine-reduced graphene oxide aerogel) complex was easily prepared through simple heat treatment of the combined PEI-GO dispersion. The proposed composite catalyst electrode, PEI-rGO AG/SPCE, showed a two linear relationship in the low and high concentrations in IAA detection, and the linear equation was Ipa = 0.2883C + 0.0883 (R2=0.9230) at low concentration and Ipa = 0.00464C + 0.6623 (R2=0.9894) at high concentration was proposed, and the detection limit was calculated to be 203.5nM±33.2nM. These results showed the applicability of the PEI-rGO AG composite catalyst as an electrode material for electrocatalysts for the detection of IAA.

Keywords

Indole-3-Acetic Acid, Electrochemical sensor, Reduced graphene oxide aerogel, Conducting polymer composite, Polyethyleneimine

Effects of PEG addition as an additive for electroplating of Cu at high current density

Byeoung-Jae Kanga, Jun-Seo Yoona, Jong-Jae Parka, Tae-Gyu Woob*, Il-Song Parka,c*

aDepartment of Metallurgical Engineering, Jeonbuk National University, Jeonbuk 54896, Korea bGraduate School of Flexible and Printable Electronics and LANL-CBNU Engineering Institute Korea, Jeonbuk National University, Jeonbuk 54896, Korea cDivision of Advanced Materials Engineering and Research Center for Advanced Materials Development, Jeonbuk National University, Jeonbuk, 54896, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 274-284.

Abstract

In this study, copper foil was electroplated under high current density conditions. We used Polyethylene Glycol (PEG), known for its thermal stability and low decomposition rate, as an inhibitor to form a stable and smooth copper layer on the titanium cathode. The electrolyte was composed of 50 g/L CuSO4 and 100 g/L H2SO4, MPSA as an accelerator, JGB as a leveler, and PEG as a suppressor, and HCl was added as chloride ions for improving plating efficiency. The copper foil electroplated in the electrolyte added PEG which induced to inhibit the growth of rough crystals. As a result, the surface roughness value was reduced, and a uniform surface was formed over a large area. Moreover, the addition of PEG led to priority growth to the (111) plane and the formation of polygonal crystals through horizontal and vertical growth of crystals onto the cathode. In addition, the grains became fine when more than 30 ppm of PEG was added. As the microcrystalline structure changed, mechanical and electrical properties were altered. With the addition of PEG, the tensile strength increased due to grain refinement, and the elongation was improved due to the uniform surface. However, as the amount of PEG added increased, the corrosion rate and resistivity increased due to grain refinement. Finally, it was possible to manufacture a copper foil with excellent electrical and mechanical properties and the best surface properties when electroplating was carried out under the condition of additives with Cl- 20 ppm, MPSA 10 ppm, JGB 5 ppm, and PEG 10 ppm.

Keywords

Indole-3-Acetic Acid, Electrochemical sensor, Reduced graphene oxide aerogel, Conducting polymer composite, Polyethyleneimine

Study on the chemical activation process from PVDC-resin with CuO agent to synthesize mesoporous carbon for supercapacitor electrodes

Sang-Eun Chuna,b,c*

aSchool of Materials Science and Engineering, Kyungpook National University, Daegu 41566, Republic of Korea bInnovative Semiconductor Education and Research Center for Future Mobility, Kyungpook National University, Daegu 41566, Republic of Korea cResearch Institute of Automotive Parts and Materials, Kyungpook National University, 80 Daehakro, Buk-gu, Daegu, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 285-295.

Abstract

PVDC-resin transforms into porous carbon through the removal of heteroatoms during heat treatment. When PVDC-resin mixed with chemical agent undergoes heat treatment, it transforms into porous carbon with a significant surface area. In this study, we aim to produce porous carbon using PVDC-resin as a precursor by mixing it with an inexpensive CuO agent in various ratios (1:1, 1:2) and varying the process temperatures (750°C, 950°C). To utilize the developed porous carbon as electrode for supercapacitors, this study explored the formation of micropores and mesopores during the activation process. The porous characteristics and specific surface area of the synthesized porous carbon were estimated using N2 isotherm. The specific capacitance and rate capability required for supercapacitor electrodes were evaluated through cyclic voltammetry. Experimental results demonstrated that when the precursor and agent were mixed in a 1:2 ratio, a high surface areal carbon with numerous micropores and mesopores was obtained. When the activation was performed at 950°C, no impurities remained from the agent, resulting in high rate performance. The porous carbon synthesized using PVDC-resin and CuO demonstrated high specific surface area and excellent rate capability, indicating its potential as an electrode material for supercapacitors.

Keywords

Porous carbon; PVDC-resin; CuO; Rate capability, Supercapacitor

Effect of various types of dental magnetostrictive ultrasonic scaler tip on surface characteristics of titanium

Min-Cheol Yang, Seok-Hwan Jeong, Seol Kim, Seung-Hwan Seol, Seung-Kyu Lee, Sang-Joun Yu*, Byung-Ock Kim*

Department of Periodontology, School of Dentistry, Chosun University, Gwangju, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 296-305.

Abstract

The aim of this in vitro study was to evaluate the changes in the roughness and weight of titanium discs treated with 3 different types of magnetostrictive ultrasonic scaler tip. Eighty identical disks (10 mm in diameter), 50 for surface roughness and 30 for weight change, were investigated in this study. For this study, 3 types of scaler tip were used as follows; Powerline(FSI-PWR-1000), Slimline(FSI-SLI-1000), and Thinsert(-16 00037374). The power was set to high power(HP), medium power(MP), and low power(LP), in the blue zone recommended by the manufacturer. Surface topography analysis was carried out using scanning electron microscopy (SEM). Surface roughness measurements, the average surface roughness (Ra) and mean roughness profile depth (Rz), were compared between treated and non-treated surfaces with a profilometer. A PowerLINE-MP of magnetostrictive ultrasonic scalers for implant patients might be recommended when considering changes in the roughness and weight of titanium discs.

Keywords

Titanium alloy, Ultrasonic therapy, Dental scaling

Controlling hydrophilic and hydrophobic properties of titanium bone fixation plates using femtosecond laser surface treatment

Hun-Kook Choia, Young-Jun Junga, Hyeongdo Jeongb, Seungpyo Kimb, Daeseon Moonb, Harim Songb,c, Ik-Bu Sohna,*

aAdvanced Photonics Research Institute, Gwangju Institute of Science and Technology, Gwangju, 61005, Korea bKJmeditec Co., Ltd, Gwangju 61009, Korea cDepartment of Prosthodontics, School of Dentistry, Chonnam National University, Gwangju, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 306-316.

Abstract

We conducted experiments to control the hydrophilic/hydrophobic properties by adjusting line and grid patterns on the surface of medical bone fixation plates using a femtosecond laser. Basic experiments were conducted using pure titanium and titanium alloy (6% alumina, 4% vanadium). The spacing of the line and grid patterns was adjusted, and surface properties were confirmed using contact angle measurement equipment. We demonstrated the feasibility of controlling hydrophilic/hydrophobic properties through the patterns of lines and grids. Based on the results of the basic experiments, surface treatment was applied to medical bone fixation plates currently used in clinical practice. Through laser processing, we confirmed a contact angle of approximately 9.18° for hydrophilicity and approximately 101.07° for hydrophobicity. We confirmed that easy control of hydrophilic/hydrophobic properties is achievable using laser processing technology and anticipate its application in various medical component fields.

Keywords

Medical bone fixation plate; Hydrophobic; Hydrophilic; Surface treatment; Femtosecond laser

Direct growth of carbon nanotubes on LiFePO4 powders and the application as cathode materials in lithium-ion batteries

Hyun-Ho Han, Jong-Hwan Lee, Goo-Hwan Jeong*

Interdisciplinary Program in Advanced Functional Materials and Devices Development, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 317-324.

Abstract

We demonstrate a direct growth of carbon nanotubes (CNTs) on the surface of LiFePO4 (LFP) powders for use in lithium-ion batteries (LIB). LFP has been widely used as a cathode material due to its low cost and high stability. However, there is a still enough room for development to overcome its low energy density and electrical conductivity. In this study, we fabricated novel structured composites of LFP and CNTs (LFP-CNTs) and characterized the electrochemical properties of LIB. The composites were prepared by direct growth of CNTs on the surface of LFP using a rotary chemical vapor deposition. The growth temperature and rotation speed of the chamber were optimized at 600 °C and 5 rpm, respectively. For the LIB cell fabrication, a half-cell was fabricated using polytetrafluoroethylene (PTFE) and carbon black as binder and conductive additives, respectively. The electrochemical properties of LIBs using commercial carbon-coated LFP (LFP/C), LFP with CNTs grown for 10 (LFP/CNTs-10m) and 30 min(LFP/CNTs-30m) are comparatively investigated. For example, after the formation cycle, we obtained 149.3, 160.1, and 175.0 mAh/g for LFP/C, LFP/CNTs-10m, and LFP/CNTs-30m, respectively. In addition, the improved rate performance and 111.9 mAh/g capacity at 2C rate were achieved from the LFP/CNTs-30m sample compared to the LFP/CNTs-10m and LFP/C samples. We believe that the approach using direct growth of CNTs on LFP particles provides straightforward solution to improve the conductivity in the LFP-based electrode by constructing conduction pathways.

Keywords

Lithium ion batteries, Direct growth, Carbon nanotubes, LiFePO4 powder, Rotary chemical vapor deposition

Design of Chlorine-resistant layer for stable electrode in seawater-based electrochemical devices

Suyeon Kim, Aye Myint Myat Kyaw, Chaeun Kim, Yewon Jang, Youri Han, Li Oi Lun*

Department of Materials Science and Engineering, Pusan National University, Busandaehak-ro 63, Geumjeong-gu, Busan 46287, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 325-330.

Abstract

When seawater is used in electrochemical devices, issues arise such as the adsorption of chloride ions blocking the active sites for Oxygen reduction reactions (ORR) in seawater batteries, and the occurrence of Chlorine evolution reactions (ClER) in seawater electrolysis due to chloride anions (Cl-) competing with OH- for catalytic active sites, potentially slowing down Oxygen evolution reactions (OER). Consequently, the performance of components used in seawater battery and seawater electrolysis may deteriorate. Therefore, conventional alloys are often used by coating or plating methods to minimize corrosion, albeit at the cost of reducing electrical conductivity. This study thus designed a corrosion-resistant layer by doping carbon with Nitrogen (N) and Sulfur (S) to maintain electrical conductivity while preventing corrosion. Optimal N,S doping ratios were developed, with corrosion experiments confirming that N,S (10:90) carbon exhibited the best corrosion resistance performance.

Keywords

Seawater; Chloride evolution reaction; Corrosion-resistant layer; N,S doping; Carbon

Hydrogen evolution reaction (HER) properties of pulse laser irradiated platinum catalysts with tailored size

Jeonghun Lee, Hyunsung Jung*

Nano convergence Materials Center, Emerging Materials R&D Division, Korea Institute of Ceramic Engineering and Technology (KICET)

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 331-337.

Abstract

Platinum has been utilized as an excellent electrocatalyst with low overpotential for the hydrogen evolution reaction (HER) in water splitting, despite of its high cost. In this study, platinum particles were produced using pulsed laser technology as a HER catalyst for water splitting. The colloidal platinum particles were synthesized by nanosecond pulsed laser irradiation (PLI) without reducing agents, not traditional polyol processes including reducing agents. The crystal structure, shape and size of the synthesized platinum particles as a function of pulsed laser irradiation time were investigated by XRD and SEM analysis. Additionally, the electrochemical properties for the HER in water splitting of the irradiation time-dependent platinum electrocatalysts were studied with the analysis of overpotentials in linear sweep voltammetry and Tafel slope.

Keywords

Platinum particle; Electrocatalyst; Pulsed laser irradiation; Hydrogen evolution reaction; Water splitting

The effect of precursor solution pH on the energy storage performance of α-MnO2 cathode for zinc-ion batteries synthesized via hydrothermal method

Sang-Eun Chuna,b,c,*

aSchool of Materials Science and Engineering, Kyungpook National University, Daegu 41566, Republic of Korea bInnovative Semiconductor Education and Research Center for Future Mobility, Kyungpook National University, Daegu 41566, Republic of Korea cResearch Institute of Automotive Parts and Materials, Kyungpook National University, 80 Daehakro, Buk-gu, Daegu, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 338-347.

Abstract

α-MnO2 as a cathode material for Zn-ion batteries allows insertion and extraction of Zn ions within its tunnel structure during charge and discharge. The morphology and crystal structure of α-MnO2 particles critically determine their electrochemical behavior and energy storage performance. In this study, α-MnO2 was synthesized from precursor solutions under varying pH conditions using a hydrothermal method. The effects of pH values on the morphology, crystal structure, and electrochemical performance were systematically analyzed. The analysis revealed that materials synthesized at higher pH levels exhibited elongated and narrow nanorods with a lower specific surface area. In contrast, those formed at lower pH levels showed shorter, thicker nanorods with a higher specific surface area. This increased surface area at a lower pH enhanced the specific capacitance by providing a greater electrode/electrolyte interfacial area. By contrast, the material synthesized at higher pH conditions demonstrated superior rate capability, attributed to its crystal structure with wider lattice spacings. Wide lattice parameters in the material synthesized at higher pH conditions facilitated easier ion transport than at lower pH levels. Consequently, the study confirms that adjusting the pH of the precursor solution can optimize the electrochemical properties of α-MnO2 for Zn-ion batteries.

Keywords

Hydrothermal, α-MnO2, pH control, Specific capacitance, Rate capability

Effect of pulse frequency and duty cycle on microstructure, residual stress and mechanical properties of ZrN coatings deposited by mid-frequency magnetron sputtering

Sung-Yong Chun

Department of Advanced Materials Science and Engineering, Mokpo National University, Jeonnam, 534-729, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 4, pp. 348-354.

Abstract

Nanocrystalline zirconium nitride (ZrN) coatings were deposited by mid-frequency direct current sputtering (mfMS) with varying pulsed plasma parameters such as pulse frequency and duty cycle to understand the effect of pulsed plasma on the microstructure, residual stress and mechanical properties. The results show that, with the increasing pulse frequency and decreasing duty cycle, the coating morphology changed from a porous columnar to a dense structure, with finer grains. Mid-frequency magnetron sputtered ZrN coatings with pulse frequency of 30 kHz showed the highest both nanoindentation hardness of 16.3 GPa, and elastic modulus of 214.4 GPa. In addition, Effect of pulse frequency on a residual stress and average crystal grain sizes was also investigated.

Keywords

Mid-frequency magnetron sputtering; ZrN; Pulse frequency; Residual stress; Nanoindentation.

Vol. 57 No.5

Sustainable ammonia hydrogen production technology using plasma

Han Jun Lee, Tae-Hee Kim*

Department of Chemical Engineering, Wonkwang University, Iksan 54538, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 355-367.

Abstract

Hydrogen is an alternative energy source to achieve decarbonization, However, storage and transportation costs are expensive. Hydrogen carriers are attracting attention for cost-effective hydrogen production, and ammonia is the most promising material. This article explores the potential of sustainable hydrogen production through ammonia decomposition using various plasma sources with/without catalysts, and compare the hydrogen production efficiency including dielectric barrier discharge(DBD), microwave plasma, and gliding arc discharge. Additionally, we evaluate the technology readiness levels(TRLs) of these plasma processes compared to other existing ammonia decomposition technologies such as only catalytic methods and electrolysis. The results reveal the advantages and limitations of each source in terms of energy efficiency, and scalability. In addition, we suggest the possibility of thermal plasma for the large-scale hydrogen production. Our findings provide valuable insights into the feasibility and practical implementation of plasma technologies for sustainable hydrogen production, contributing to the advancement of clean energy solutions and the reduction of global carbon emissions.

Keywords

Plasma; Ammonia decomposition; Hydrogen production; Green Hydrogen.

Strategies for Enhancing Zinc Anode Stability and Safety in Aqueous Zinc Secondary Battery

Jong-Jin Park, Gyeongtae Seo, Yong-Tae Kim*

Department of Chemical and Biomolecular Engineering, Chonnam National University, 59626, Yeosu, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 368-378.

Abstract

The growing environmental concerns due to increased fossil fuel consumption have intensified the demand for sustainable and economically viable energy sources. Among the various energy storage devices, lithium-ion batteries (LIBs) are widely used in electronic devices and electric vehicles due to their high energy density and excellent cycle life. However, LIBs face challenges such as safety concerns due to side reactions, thermal expansion, and explosion risks, along with issues of limited resource availability and high costs. As a result, multivalent metals such as calcium, magnesium, zinc, iron, and aluminum are being explored as alternatives to lithium. Recently, there has been significant interest in developing aqueous zinc-ion battery (AZIB) due to their use of water as an electrolyte solvent, which enhances safety by reducing the risk of fire even in the event of a short circuit. Additionally, AZIBs offer benefits such as non-toxicity, fast ion conductivity, high volumetric capacity, and cost-effectiveness due to the abundance of zinc. Despite these advantages, AZIBs face challenges including dendrite formation on the zinc anode during cycling, leading to short circuits, corrosion, and hydrogen gas evolution, which can compromise battery performance and safety. This review discusses the underlying mechanisms of these issues and explores various strategies to stabilize the zinc anode and improve the overall performance of AZIBs.

Keywords

Aqueous zinc-ion battery; Anode; Dendrite; Hydrogen gas evolution.

Investigation of Al-Ag thin films for high-performance SAW devices with low insertion loss and high frequency selectivity

JaeCheol Park

Purpose-based Mobility Group, Korea Institute of Industrial Technology (KITECH), Gwangju, 61012, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 379-385.

Abstract

Al-Ag thin films with varying compositions were fabricated using a combinatorial sputtering system to develop highly sensitive SAW devices. The Al-Ag sample library exhibited a wide range of electrical resistivity and chemical compositions, providing valuable data for selecting optimal materials. Recognizing the significant influence of both resistivity and density of IDT electrodes on the generation of acoustic waves from piezoelectric materials, three types of Al-Ag thin films with different Al contents were fabricated, maintaining a consistent thickness of 150 nm. As the Al content decreased from 84.6 at% to 21.7 at%, the resistivity increased from 5.1 to 0.8 x 10-5 Ω-cm, while the calculated density increased from 3.9 to 8.8 g/cm3. The SAW devices fabricated with these Al-Ag IDT electrodes resonated at 71 MHz without frequency shifts, but the resonant frequency selectivity and insertion loss deteriorated with decreasing Al content, highlighting the predominant influence of electrode density over electrical conductivity on SAW device performance.

Keywords

Combinatorial RF sputtering; Surface acoustic wave; Interdigital transducer; Aluminum-silver thin film

Bipolar High-power Impulse Magnetron Sputtering of Ta-W Alloy Film on the Inner Surface of 2,800 mm Stainless-Steel Tube

Kyoungho Jeona,b, Gyuwon Hana, Seoung Hyeon Euma, Sang Ho Limb, Seunghee Hana,*

aNational Security & Disaster Safety Technology Group, Korea Institute of Science and Technology (KIST), 5, Hwarang-ro 14-gil, Seongbuk-gu, Seoul, 02792, Republic of Korea bDepartment of Materials Science and Engineering, Korea University, 145-Anam-ro, Seongbuk-gu, Seoul 02481, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 386-397.

Abstract

Chrome plating is a method used to protect the inside of a gun barrel from the severe environment (3,000 K and 4,000 MPa for 20 ms) created by the propellant gas when a cannon is fired. However, Cr-plated films have physical limitations, and the formation of hexavalent Cr compounds has a harmful effect on the environment. Ta-W alloy film has been explored as an alternative to Cr plating owing to the high melting point and corrosion resistance of Ta. However, obtaining pure α-phase Ta by sputtering is difficult, and the autofrettage effect in gun barrels limits the use of annealing. Therefore, a deposition method without the use of additional heat treatment is required to prepare Ta-W films with alpha-phase Ta. We explored the feasibility of depositing Ta-W alloy film inside a 2,800 mm-long stainless-steel tube using bipolar high-power impulse magnetron sputtering. A specially designed cylindrical magnetron sputtering equipment and a four-stage experimental process was employed to deposit a coating with uniform thickness (10.59%) throughout the tube, high adhesive strength (51.51 MPa), and pure alpha-phase Ta. The findings of this study are useful for deposition of Ta-W alloy films inside large-caliber canons.

Keywords

Cannon; Ta-W alloy; Cylindrical magnetron sputtering; Bipolar high-power impulse magnetron sputtering; Alpha phase; Thickness uniformity

Influence of ZnO Nanoparticle Size on Mitigating SCC in Stainless Steel 304

Sehoon Hwang, SeKwon Oh*

Industrial Components R&D Department, Korea Institute of Industrial Technology, Incheon 21999, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 398-405.

Abstract

In this study, ZnO nanoparticle treatments were applied to stainless steel 304 to mitigate the generation of stress corrosion cracking (SCC) under pressurized water reactor (PWR)-simulated conditions, focusing on temperature and pressure (300ºC, 150 bar), specifically simulating temperature and pressure. ZnO nanoparticles were synthesized via plasma discharge in an aqueous solution, with sizes ranging from 355 ± 142 nm to 25.7 ± 7.2 nm along the long axis, controlled by adjusting the voltage parameters. After treatment with 25 nm ZnO nanoparticle treatment, the surface of stainless steel 304 was analyzed using X-ray diffraction (XRD) and X-ray photoelectron spectroscopy (XPS), confirming the formation of a compact and dense ZnCr2O4 spinel oxide film with a thickness of approximately 65 nm. Corrosion potential tests conducted using a Potentiostat/Galvanostat revealed that corrosion resistance improved as ZnO nanoparticle size decreased. Additionally, U-bend tests under accelerated corrosion conditions showed significantly reduced SCC in samples treated with 25 nm ZnO nanoparticles. These findings suggest that ZnO nanoparticles synthesized via plasma discharge could be effectively applied for SCC mitigation in the nuclear industry.

Keywords

ZnO nanoparticles; SCC; PWR-simulated conditions; Spinel structure

Effect of various seed layers on the formation of self-organized nano structure

Dong-Hyun Kima, Jun-Pyo Leea, Joon-Seok Heoa, Masao Kamikob, Keita Itoc, Takeshi Sekic and Jae-Geun Haa,*

aDepartment of Materials Engineering, Kwangwoon University, Seoul 01897, Korea bInstitute of Industrial Science, The University of Tokyo, Meguro, Tokyo 153-8505, Japan cInstitute for Materials Research,Tohoku University, Sendai 980-8577, Japan

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 406-415.

Abstract

Using DC magnetron sputtering, we deposited a bilayer composed of a seed layer consisting of Ti, Cr, Co, and Zr, and an overlayer of Ag on MgO(001) single crystal substrates, creating self-assembled nanostructures. When Ti was used as the seed layer, it was observed that the formed nano-dots inherently aggregated into dot shapes. Additionally, Cr, Co, and Zr were chosen to investigate their influence on SLAA(Seed layer Assisted Agglomeration) depending on the seed layer material, revealing different shapes of the formed nano-dots. Moreover, it was observed that aggregation was inhibited as the thickness of the seed layer exceeded a critical point. X-ray diffraction analysis of the Ti seed layer revealed epitaxial growth of Ag along the (001) direction of the MgO substrate. In contrast, no epitaxial growth was observed when Cr, Co, and Zr were used as seed layer materials. Ultimately, Ti was identified as the most suitable seed layer material for the fabrication of self-assembled nanostructures utilizing the aggregation phenomenon of the bilayer. This research is deemed sufficiently valuable in addressing the limitations associated with the low productivity and high cost of current nano thin film processes.

Keywords

Seed Layer; Nanostructure; Agglomeration phenomenon; Self-Organized fabrication process; Epitaxial growth.

The optimal synthesis of activated carbon for supercapacitors via controlled ratios of Polyvinylidene chloride-resin precursor and ZnO agent

Sang-Eun Chuna,b,c*

aSchool of Materials Science and Engineering, Kyungpook National University, Daegu 41566, Republic of Korea bInnovative Semiconductor Education and Research Center for Future Mobility, Kyungpook National University, Daegu 41566, Republic of Korea cResearch Institute of Automotive Parts and Materials, Kyungpook National University, 80 Daehakro, Buk-gu, Daegu, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 416-424.

Abstract

Supercapacitors as energy storage devices require specific capacitance and rate capability improvements to achieve high energy and power densities. Activated carbon, commonly used as an electrode for supercapacitors, should have a porous structure for high capacitance and the large mesopores for high power. This study aims to produce mesoporous carbon for supercapacitors from the mixture of polyvinylidene chloride-resin (PVDC-resin) precursor and ZnO activating agent at a controlled mixing ratio via heat treatment. To synthesize porous carbon with high specific capacitance and high rate performance, PVDC-resin and ZnO were mixed in various ratios and activated at 950°C. Analysis of the pore structure and surface area of the synthesized carbon samples showed that the specific surface area and the amounts of micropores and mesopores also increased with more ZnO agents. Notably, the porous carbon synthesized from PVDC-resin to ZnO at a 2:3 ratio exhibited a high specific capacitance of 125 F g⁻¹ and excellent rate performance of 74%, demonstrating its potential as an optimal supercapacitor electrode material based on its surface area and mesoporous structure. This study identifies the optimal mixing ratio of PVDC-resin precursor and ZnO activator for the economical and efficient synthesis of activated carbon.

Keywords

PVDC-resin; ZnO; Supercapacitor, Rate capability; Optimal ratio.

Size-controlled synthesis of silicon oxide nanoparticles and the application as anode materials in lithium-ion batteries

Jeong-Yun Yang, Eun Seok, Goo-Hwan Jeong*

Interdisciplinary Program in Advanced Functional Materials and Devices Development, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 5, pp. 425-431.

Abstract

As demand in the electric vehicle market increases, the development of high capacity, high energy density lithium-ion batteries (LIBs) is required. Silicon has a extremely high theoretical capacity of 4200 mAh/g, but low cycle life and structural instability due to high volume expansion during charging and discharging are critical issue to solve. A reduced silicon oxide has also a high theoretical capacity of 2500 mAh/g and recently studied extensively for its low-cost, superior cycle life, and structural stability.

Keywords

Lithium ion batteries; Anode material; Magnesiothermic reduction reaction; Reduced silicon oxide

Vol. 57 No.6

Recent Trends in Seawater Secondary Battery Research

Minsun Kima, Songui Baea, YoungChan Leeb, Jun Kanga,*

aDivision of Marine Engineering, Korea Maritime and Ocean University, 606-791, Republic of Korea bDivision of Coast Guard Studies, Korea Maritime and Ocean University, 606-791, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 432-438.

Abstract

Seawater secondary batteries are gaining attention as a new energy storage system that offers a stable supply of resources, cost savings, and enhanced safety compared to traditional lithium-ion batteries (LIBs). One of the key motivations for considering seawater batteries as an alternative energy storage system is the scarcity and high cost of resources like lithium and cobalt, as well as safety concerns related to the use of organic electrolytes in LIBs. Seawater secondary batteries utilize sodium ions and leverage the abundant and low-cost seawater as the cathode material, which reduces costs and allows for the easy construction of large-scale systems without the need for frequent electrode material replacement. Additionally, the natural cooling effect of seawater facilitates thermal management of the battery system, helping to reduce the overall system size. The reaction mechanisms and components of seawater secondary batteries are discussed. The oxidation-reduction reactions of sodium ions during charge and discharge, as well as the specific reactions occurring at the anode and cathode, are explained. In the main body of this paper, the influence of these reactions on the electrochemical performance of seawater secondary batteries is also examined. In particular, research findings related to carbon-based materials used as anodes, various composites, non-aqueous electrolytes as anolytes, and cathode catalysts are discussed in detail. In conclusion, while seawater secondary batteries hold great potential as an alternative energy storage system, there are still several challenges that need to be addressed before commercialization. Continued research is required to improve battery performance and ensure long-term stability through material advancements, as well as to explore cost reduction strategies for large-scale commercialization.

Keywords

Sewater, Battery, Rechargeble

Development of electrodeposited lithium metal anodes for next-generation lithium metal batteries

Changmyeon Leea,b, Beom Tak Nab, Hongkee Leeb, Hideo Honmac, Osamu Takaic, Joo-Hyong Noha,c*

aGraduate School of Engineering, Kanto Gakuin University, Yokohama, 236-8501, Japan bIndustrial Components R&D Department, Korea Institute of Industrial Technology cMaterials and Surface Engineering Research Institute, Kanto Gakuin University, Yokohama, 236-8501, Japan

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 439-448.

Abstract

This study presents a lithium electroplating technology designed to enhance the quality of lithium anodes for next-generation lithium metal batteries. We investigate the electroplating process to achieve a high-density lithium layer. By employing atmospheric-pressure plasma treatment on copper current collectors and applying uniaxial pressure during the electroplating process, significant progress was made in improving the properties of the electrodeposited lithium. Furthermore, we analyze the factors contributing to performance degradation at low E/C ratios (electrolyte-to-capacity ratio) and discuss strategies for the commercialization of electroplated lithium as anode material for lithium metal batteries.

Keywords

Lithium metal electrodeposition; charge-discharge stability; high-density lithium metal; protective coating; electrode behavior; lithium metal battery

Fabrication and characterization of catalyst supports for fuel cell MEA using jellyfish and seaweed roots

Kang Junga,b, Young Ju Leec, Yang Ho Choic, Il Nam Jeonga,*, Yangkyu Parka,b,*

aJeonnam Yeosu Industry-University Convergence Agency, 17 Samdong 3-gil, Yeosu 59631, Chonnam, South Korea bDepartment of Mechatronics Engineering, Chonnam National University, 50 Daehak-ro, Yeosu, Chonnam 59626, South Korea cFOR.M, #312, Yulchonsandan4-ro 13, Haeryong-myeon, Suncheon-si, Jeollanam-do, Republic of Korea, 38034

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 449-455.

Abstract

In conventional catalyst supports for fuel cell membrane electrode assemblies (MEA), carbon-based materials such as activated carbon, carbon nanotubes, and graphene are commonly used to enhance the performance of platinum catalysts. However, their reliance on fossil resources raises environmental concerns. In this study, we explored an alternative approach by producing carbon fillets, functioning as catalyst supports, from jellyfish, considered marine waste, and seaweed roots, a byproduct of fishery processing. Six different samples were fabricated under varying conditions and characterized using Brunauer–Emmett–Teller specific surface area analysis, X-ray diffraction, X-ray photoelectron spectroscopy, and organic elemental analysis. Among them, the seaweed-derived sample, activated with C: KOH at a ratio of 1:1 demonstrated the best performance with a high surface area of 1360 m²/g and no detectable impurities. Consequently, the MEA catalyst support developed in this study shows promising potential for future applications in sustainable fuel cell technologies.

Keywords

Jellyfish; seaweed root; catalyst support; membrane electrode assembly; fuel cell

The effects of electrolyte composition on the electropolishing and passivation of STS316L stainless steel for semiconductor applications

Hyunseok Yang, Woo-Chul Jung, Man-Sik Kong*

Advanced Materials & Processing Center, Institute for Advanced Engineering, Yongin 175-28, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 456-462.

Abstract

Stainless steel used in the semiconductor industry requires high surface quality and corrosion resistance, making ultra-cleaning processes essential. This study investigated the effects of various electrolyte compositions with different ratios of phosphoric acid and sulfuric acid on the electropolishing performance and Cr/Fe ratio of stainless steel. As the phosphoric acid content increased, the optimal voltage range for maintaining stable current density narrowed, but surface roughness improved due to its oxidation-promoting effect. In contrast, higher sulfuric acid content resulted in limited improvements in surface quality and the Cr/Fe ratio. These findings present a method for optimizing electrolyte composition and passivation time to effectively enhance the surface quality and corrosion resistance of stainless steel required in the semiconductor industry.

Keywords

Electropolishing; Phosphoric acid; Stainless steel; Surface roughness; Cr/Fe ratio

Long-term Corrosion Specimen Image Analysis Using Optical Density-Based Image Normalization

Beomsoo Kim, Jaesung Kwon, Jeonghyeon Yang*

Beomsoo Kim, Jaesung Kwon, Jeonghyeon Yang*

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 463-469.

Abstract

Corrosion of metals poses a threat to structural integrity in various industrial sectors and can intensify with prolonged exposure. This study proposes an efficient method for corrosion detection and analysis. A heuristic approach was used to derive a corrosion matrix composed of corrosion area colors and specimen surface colors, utilizing the CIEDE2000 color difference criterion. By converting specimen images into optical density space and performing color normalization, consistency in color changes was maintained during long-term observation. The combination of Optical Density transformation and HSV color space transformation provides an effective and consistent method for analyzing the corrosion process. This approach is expected to enhance the performance of corrosion monitoring systems and improve structural safety.

Keywords

Corrosion detection; CIEDE2000 color difference; Optical density space; Color normalization

Corrosion Resistance and Hardness of Ni/Zn-Ni Multilayer Electrodeposited by Potential Control in a Single Bath

Sohee Yuna, Dongmin Shina, Hweeyong Kima, Narim Parka, Hakchul Leeb, Youngmin Parkb, Taehyung Jungb, Junghoon Leea,*

aDepartment of Metallurgical Engineering, Pukyong National University, Busan 48513, Republic of Korea bHwashin Bolt IND CO., LTD, Busan 49479, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 470-475.

Abstract

In this study, we developed and evaluated a multilayer nickel/zinc-nickel (Ni/Zn-Ni) coating using a single electrodeposition bath with controlled potential. Traditional zinc-nickel coatings offer superior corrosion resistance compared to pure zinc, particularly with an optimal Ni composition of 12-15 wt.%. However, we utilized a pulse-reverse potential (PRP) technique to create multi layers of Zn-Ni alloy and pure Ni. Linear sweep voltammetry (LSV) was employed to determine appropriate potential ranges for selective Zn-Ni alloy and Ni layer electrodeposition. The coating microstructure was analyzed using scanning electron microscopy (SEM) and energy-dispersive spectroscopy (EDS). X-ray diffraction (XRD) revealed γ-phase Zn-Ni alloy in both direct current (DC) and PRP samples, but additional Ni phase was found in case of PRP. Corrosion resistance was evaluated using potentiodynamic polarization in 3.5 wt.% NaCl solution, where PRP coatings exhibited significantly lower galvanic couple current density and higher couple potential, demonstrating improved corrosion resistance compared to the DC case. Additionally, Vickers hardness testing indicated that the PRP coating had higher surface hardness than DC case. This Ni/Zn-Ni multilayer approach shows potential as a robust anti-corrosion solution, offering enhanced mechanical properties and corrosion resistance.

Keywords

Zn-Ni alloy; Nickel; Electrodeposition; Multi-layer; Pulse-reverse potential

Analysis of electrochemical activity level of composite electrodes for lithium secondary batteries using fractal dimensions

Se-Jin Park, So-Yeon Park* Heon-Cheol Shin*

School of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 476-485.

Abstract

The effect of PVdF on the electrochemical activity of composite electrodes for Li battery was analyzed using the PVdF-aqueous solution as a model system in terms of fractal dimension(Df). To this end, specimens with different pore structures were prepared by controlling the electrode density and loading, and their electrochemical behavior under diffusion control was analyzed by cyclic voltammetry(CV) and electrochemical impedance spectroscopy(EIS). The CV results showed a clear difference in Df depending on the pore structure and confirmed that the electrochemical deactivation effect of PVdF is different for different pore structures. Particularly, its deactivation effect was larger at the macroscopic pore level, suggesting that it may be responsible for the inhomogeneous reaction across pore size regions. On the other hand, when EIS was applied, the overall Df was similar to the value from CV, but the ability to distinguish differences in Df according to pore structure was relatively low.

Keywords

Lithium battery; Electrode; Pore structure; Fractal dimension; PVdF

Study on Segmentation of Corroded Specimens in Images Using U-Net

Beomsoo Kim, Jaesung Kwon, Jeonghyeon Yang*

Department of Mechanical System Engineering, Gyeongsang National University, Tongyeong, Gyeongnam, 53064, Korea

The Korean Society of Surface Science and Engineering, Vol. 57, No. 6, pp. 486-491.

Abstract

This study proposes an image segmentation technique utilizing the U-Net model to effectively segment the cross-sections of corroded specimens. The proposed model, leveraging an encoder-decoder architecture with skip connections, enables high-resolution segmentation, which is advantageous for the precise delineation of complex corroded areas. After training the model on a labeled image dataset, performance evaluation using test images demonstrated that the proposed U-Net model achieved high accuracy and IoU scores, thereby confirming its excellent performance. These results indicate that machine learning-based long-term image analysis can contribute to the efficient and straightforward segmentation of specimens.

Keywords

Corrosion specimen; Image segmentation; U-Net

  • Vol. 56 No.1
  • Vol. 56 No.2
  • Vol. 56 No.3
  • Vol. 56 No.4
  • Vol. 56 No.5
  • Vol. 56 No.6

Vol. 56 No.1

Recent applications of lubricant-impregnated nanoporous surface : A Review

Kyeongwan Han, Kichang Bae, Junghoon Lee *

Department of Metallurgical Engineering, Pukyong National University, Busan 48513, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 1-11.

Abstract

Lubricant-impregnated nanoporous surfaces (LIS), which is created by impregnating water-immiscible oil into nanoporous surface structure, have been explored considering wide range of application fields. Due to the lubricant impregnated in nanoporous structure, the surface shows extreme de-wetting with a high mobility of water droplets, so that various functionalities can be realized. The lubricant layer inhibits the contact of corrosive media to porous structure as well as metal substrate, thus the surface improves the corrosion resistance. The water on the surface freeze without any contact to solid porous structure, showing a low ice adhesion for de-icing an anti-icing. The extremely high mobility of water droplets on lubricant-impregnated porous surfaces also contributes the enhancement of condensation heat transfer as well as water harvesting from fog and moisture. Moreover, the bacteria adhesion on metal surface forming biofilms causing serious hygiene issues can be inhibited on the lubricantimpregnated surfaces. Despite of such superior functionalities, the lubricant-impregnated porous surface has a limitation of lubricant depletion by external flow of fluids. Therefore, extensive efforts to improve the durability of lubricant-impregnated surface are required for practical applications.

Keywords

Lubricant-impregnated surface; Functional surface; De-wetting; Hydrophobic; Porous structure.

Challenges for the realization of carbon neutrality and air pollution improvement in major Northeast Asian countries: The importance of transitioning to eco-friendly EV industry and the necessity of developing lightweight materials

Sung-Hyung Leea,b,c*, Hitoshi Yashirob, Song-Zhu Kure-Chud

aGakkō hōjin Kitahara gakuen, Hirakawa 036-0146 Japan, bDepartment of Chemistry and Biological Science, Iwate University, Morioka, Iwate 020-8551 Japan, cKorea Wayokai Institute of Technology, Seoul 152-880 Korea, dMaterials Function and Design, Nagoya Institute of Technology, Nagoya, Aichi 466-855 Japan

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 12-39.

Abstract

Diseases caused by air pollution and abnormal climate are occurring worldwide due to global warming. Accordingly, the international community has established a strategy to respond to climate change, and major countries have shifted their economic policies to eco-friendly industries.

Keywords

GHG; North-East Asia; Carbon neutral; EV; Surface treatment.

Copper phthalocyanine conjugated PANI coated screen printed carbon electrode for electrochemical sensing of 4-NP

Ramalingam Manikandana, Jang-Hee Yoonb*, Seung-Cheol Changa*

aDepartment of Cogno-Mechatronics Engineering, College of Nanoscience and Nanotechnology, Pusan National University, Busan 46241, Republic of Korea, bBusan center, Korea Basic Science Institute, Busan 46742, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 40-54.

Abstract

In this work, we synthesized a novel electrochemical sensing materials based on tetracarboxylic copper phthalocyanine (TcCuPtc) conjugated PANI (TcCuPtc@PANI). The synthesized materials were employed to modify the screen-printed carbon electrode (SPCE) for the selective sensing of 4-nitrophenol. The TcCuPtc was conjugated with conducting polymer of PANI through the electrostatic interaction and π-π electron conjugation, the polymer film of PANI to inhibit the leakage of TcCuPtc from the surface of the electrode. The prepared TcCuPtc@PANI were characterized and confirmed by scanning electron microscopy (SEM) with EDX, ATR-IR, UV-vis absorption spectroscopy, cyclic voltammetry, and differential pulse voltammetry techniques. The prepared TcCuPtc@PANI/SPCE showed an excellent electrocatalytic sensing of 4-NP in the linear concentrations from 3 to 500 nM with a LOD of 0.03 nM and a sensitivity of 8.8294 µA/nM cm-2. However, the prepared TcCuPtc@PANI/SPCE showed selective sensing of 4-NP in the presence of other interfering species. The practical applicability of the TcCuPtc@PANI/SPCE was employed for the sensing of 4-NP in different water samples by standard addition method and showed satisfactory recovery results.

Keywords

Tetracarboxylic copper phthalocyanine; PANI; 4-nitrophenol; electrochemical sensing; water samples.

Investigation of direct growth behavior of carbon nanotubes on alumina powders to use as heat dissipation materials

Jong-Hwan Lee, Hyun-Ho Han and Goo-Hwan Jeong*

Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 55-61.

Abstract

As a preliminary study to produce functional nanocomposites in a heat dissipation device, we performed the direct synthesis of carbon nanotubes (CNTs) on the surface of alumina (Al2O3) powders. A thermal chemical vapor deposition (TCVD) system was used to grow CNTs directly on the Al2O3 surface. In order to investigate the growth behavior of CNTs, we varied both furnace temperature of the TCVD ranging from 700 to 850 °C and concentration of the ferritin-dissolved DI solution from 0.1 to 2.0 mg/mL. From the previous results, the gas composition and duration time for CNT growth were fixed as C2H4 : H2 = 30 : 500 (vol. %) and 10 min, respectively. Based on the analysis results, the optimized growth temperature and ferritin concentration were found to be 825 °C and 0.5 mg/mL, respectively. The obtained results could be adopted to achieve mass production of nanocomposites with heat dissipation functionality.

Keywords

Direct growth; Carbon nanotubes; Alumina powder; Heat dissipation; Thermal chemical vapor deposition.

Effective problem mitigation strategy of lithium secondary battery silicon anode utilized liquid precursor

Sangryeol Lee, Seongsu Park, Sujong Chae*

Department of Industrial Chemistry, Pukyong National University, Busan 48547, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 62-68.

Abstract

Silicon (Si) is considered as a promising substitute for the conventional graphite due to its high theoretical specific capacity (3579 mAh/g, Li15Si4) and proper working voltage (~0.3V vs Li+/Li). However, the large volume change of Si during (de)lithiation brings about severe degradation of battery performances, rendering it difficult to be applied in the practical battery directly. As a one feasible candidate of industrial Si anode, silicon monoxide (SiOx) demonstrates great electrochemical stability with its specialized strategy, downsized Si nanocrystallites surrounded by Li+ inactive buffer phase (Li2O and Li4SiO4). Nevertheless, SiOx inherently has the initial irreversible capacity and poor electrical conductivity. To overcome those issues, conformal carbon coating has been performed on SiOx utilizing ethylbenzene as the carbon precursor of chemical vapor deposition (CVD). Through various characterizations, it is confirmed that the carbon is homogeneously coated on the surface of SiOx. Accordingly, the carbon-coated SiOx from CVD using ethylbenzene demonstrates 73% of the first cycle efficiency and great cycle life (88.1% capacity retention at 50th cycle). This work provides a promising synthetic route of the uniform and scalable carbon coating on Si anode for high-energy density.

Keywords

Lithium-ion Secondary battery; Silicon Oxide; Carbon Coating; Liquid Precursor.

Effects of hairline treatment on surface blackening and thermal diffusion of Zn-Al-Mg alloy-coated steel sheet

Jin Sung Parka, Duck Bin Yuna, Sang Heon Kimb, Tae Yeob Kimc, Sung Jin Kima,*

aDepartment of Advanced Materials Engineering, Sunchon National University, Sunchoen 57922, Republic of Korea, bM.E.C. Co. LTD. Technical Research Laboratories, Singeumsandan 5-gil, Gwangyang 57714, Republic of Korea, cDivision of Marine System Engineering, Korea Maritime & Ocean University, Taejong-ro, Busan 49112, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 69-76.

Abstract

The effects of hairline treatment on surface blackening and thermal diffusion behaviors of Zn-Al-Mg alloy coated steel sheet were evaluated by the three-dimensional surface profiler and laser-flash technique. The metallographic observation of coating damages by hairline treatments showed that several cracks were initiated and propagated along the interface between primary Zn/eutectic phases. As the hairline processing became more severe, the crack occurrence frequency in eutectic phase of coating layer and the surface roughness increased, which had a proportional relationship with the level of blackening on the coating surface. In addition, the higher interfacial areas of the blackened coating surface, caused by the hairline process, led to an increase in thermal diffusivity and conductivity of the coated steel sheet. On the other hand, when the coating damage by hairline treatment was excessive and the steel substrate was exposed, there was little difference between the thermal diffusivity/conductivity of the untreated sample though the blackening degree was higher than that of untreated sample. This work suggests that the increase in the surface areas of the coating layer without exposure to steel substrate through hairline treatment can be one of the effective technical strategies for the development of Zn-Al-Mg alloy coated steel sheets with higher blackening level and thermal diffusivity.

Keywords

hairline; roughness; blackening; thermal diffusivity; conductivity.

Electrolytic silane deposition to improve the interfacial adhesion Ag and epoxy substrate

Wonhyo Konga,b, Gwangryeol Parkc, Hojun Ryuc, Sung-il Kangc, Inseob Baec, Seunghoe Choea,*

aSurface & Nano Materials Division, Korea Institute of Materials Science (KIMS), 797 Changwondaero, Seongsan-gu, Changwon, Gyeongsangnam-do, 51508, Republic of Korea, bDepartment of Materials Science and Engineering, Pusan National University, Busan, 46241, Republic of Korea, cHaesung DS, 726, Ungnam-ro, Seongsan-gu, Changwon, Gyeongsangnam-do, 51552, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 77-83.

Abstract

The reliability of leadframe-based semiconductor package depends on the adhesion between metal and epoxy molding compound (EMC). In this study, the Ag surface was electrochemically treated in a solution containing silanes in order to improve the adhesion between Ag and epoxy substrate. After electrochemical treatment, the thin silane layer was deposited on the Ag surface, whereby the peel strength between Ag and epoxy substrate was clearly improved. The improvement of peel strength depended on the functional group of silane, implying the chemical linkage between Ag and epoxy.

Keywords

Adhesion promoter; silane; electrolytic treatment; leadframe; semiconductor package.

An analysis of neutron sources and gamma-ray in spent fuels using SCALE-ORIGEN-ARP

So-Hee Cha, Kwang-Heon Park*

Department of Nuclear Engineering, Kyung Hee University, Kyunggi-do, 446-701, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 84-93.

Abstract

The spent nuclear fuel is burned during the planned cycle in the plant and then generates elements such as actinide series, fission products, and plutonium with a long half-life. An ‘interim storage’ step is needed to manage the high radioactivity and heat emitted by nuclides until permanent-disposal. In the case of Korea, there is no space to dispose of high-level radioactive waste after use, so there is a need for a period of time using interim storage. Therefore, the intensity of neutrons and gamma-ray must be determined to ensure the integrity of spent nuclear fuel during interim storage. In particular, the most important thing in spent nuclear fuel is burnup evaluation, estimation of the source term of neutrons and gamma-ray is regarded as a reference measurement of the burnup evaluation. In this study, an analysis of spent nuclear fuel was conducted by setting up a virtual fuel burnup case based on CE16x16 fuel to check the total amount and spectrum of neutron, gamma radiation produced. The correlation between BU (burnup), IE (enrichment), and CT (cooling time) will be identified through spent nuclear fuel burnup calculation. In addition, the composition of nuclide inventory, actinide and fission products can be identified.

Keywords

Spent nuclear fuel; Neutron source; gamma-ray; Burnup; Enrichment; Cooling time.

Effect of process pressure and nitrogen addition ratio on the uniformity of hardening depth and surface properties of Cr-Mo low alloy steel in vacuum carburizing

Gi-hoon Kwona,b, Hyunjun Parka, Young-Kook Leeb, Kyoungil Moona,*

aHeat & Surface Technology R&D Group, Korea Institute of Industrial Technology, Siheung, 15014,Korea, bDepartment of Materials Science and Engineering, Yonsei University, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 94-103.

Abstract

The effects of carburizing pressure and gas ratio on vacuum carburizing properties (uniformity and surface characteristics) have been studied through the analyses of carbon concentration, hardness, surface color, surface roughness and type of carbon bonding. AISI 4115 steel specimens were carburized with various pressures (1, 5, and 10 Torr) at different locations (P1, P2, P3, P4, P5, and P6) inside a furnace held at 950 ℃. Since the carburizing pressure represents the density of the carburizing gas, it plays an important role in improving the carburizing uniformity according to locations in the furnace. As the carburizing pressure increased, the carburizing uniformity according to the sample location was improved, but the surface of the carburized specimen was discolored due to the residual acetylene gas, which does not contribute to the carburizing reaction. Therefore, the carburizing uniformity and surface discoloration have been improved by injecting acetylene gas (carburizing gas) and nitrogen gas (non-reactive gas) in a specific ratio.

Keywords

Vacuum carburizing; Pressure; Uniformity; Acetylene; Nitrogen.

Three-dimensional porous films consisting of copper@cobalt oxide coreshell dendrites for high-capacity lithium secondary batteries

So-Young Jooa, Yunju Choia,b, Woo-Sung Choia,*, Heon-Cheol Shina,*

aSchool of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea, bKorea Basic Science Institute, Busan Center, Busan 46742, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 1, pp. 104-114.

Abstract

Three dimensional (3D) porous structures consisting of Cu@CoO core-shell-type nano-dendrites were synthesized and tested as the anode materials in lithium secondary batteries. For this purpose, first, the 3D porous films comprising Cu@Co core-shell-type nano-dendrites with various thicknesses were fabricated through the electrochemical co-deposition of Cu and Co. Then the Co shells were selectively anodized to form Co hydroxides, which was finally dehydrated to get Cu@CoO nano-dendrites. The resulting electrodes exhibited very high reversible specific capacity almost 1.4~2.4 times the theoretical capacity of commercial graphite, and excellent capacity retention (~90%@50th cycle) as compared with those of the existing transition metal oxides. From the analysis of the cumulative irreversible capacity and morphology change during charge/discharge cycling, it proved that the excellent capacity retention was attributed to the unique structural feature of our core-shell structure where only the thin CoO shell participates in the lithium storage. In addition, our electrodes showed a superb rate performance (70.5%@10.8 C-rate), most likely due to the open porous structure of 3D films, large surface area thanks to the dendritic structure, and fast electron transport through Cu core network.

Keywords

Cobalt oxide; Core-shell; Dendrite; Anode; Lithium battery.

Vol. 56 No.2

Technological Trends in a local anodization

Kwang-Mo Kang, Sumin Choi, Yoon-Chae Nah*

School of Energy, Materials, and Chemical Engineering, Korea University of Technology and Education, 1600 Chungjeol-ro, Cheonan, Chungnam 31253, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 115-124.

Abstract

Anodization is an electrochemical process that electrochemically converts a metal surface into an oxide layer, resulting in enhanced corrosion resistance, wear resistance, and improved aesthetic appearance. Local anodization, also known as selective anodization, is a modified process that enables specific regions or patterns on the metal surface to undergo anodization instead of the entire surface. Several methods have been attempted to produce oxide layers via localized anodic oxidation, such as using a mask or pre-patterned substrate. However, these methods are often intricate, time-consuming, and costly. Conversely, the direct writing or patterning approach is a more straightforward and efficient way to fabricate the oxide layers. This review paper intends to enhance our comprehension of local anodization and its potential applications in various fields, including the development of nanotechnologies. The application of anodization is promising in surface engineering, where the anodic oxide layer serves as a protective coating for metals or modifies the surface properties of materials. Furthermore, anodic oxidation can create micro- and nano-scale patterns on metal surfaces. Overall, the development of efficient and cost-effective anodic oxidation methods is essential for the advancement of various industries and technologies.

Keywords

Local anodization; Mask; Patterned substrate; Direct writing; Oxide layer.

A Study on the Utilization Status and Corrosion Damage with Ammonia Characteristics

Seung-Jun Lee

Division of Marine Engineering, Kunsan National University, Gunsan 54150, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 125-136.

Abstract

Recently, ammonia has emerged as an alternative energy source that can reduce carbon emissions in various industries. Ammonia is used as a fuel in internal combustion engines because it contains no carbon in its components and does not emit any carbon when burned. It is also used in various fields such as fertilizer production, refrigeration, cleaning and disinfection, and drug manufacturing due to its unique characteristics, such as high volatility and easy solubility in water. However, it is highly corrosive to metals and is a toxic gas that can pose a risk to human health, so caution must be exercised when using it. In particular, stress corrosion cracking may occur in containers or manufacturing facilities made of carbon-manganese steel or nickel steel, so special care is needed. As ammonia has emerged as an alternative fuel for reducing carbon emissions, there is a need for a rapid response. Therefore, based on a deep understanding of the causes and mechanisms of ammonia corrosion, it is important to develop new corrosion inhibitors, improve corrosion monitoring and prediction systems, and study corrosion prevention design.

Keywords

Ammonia; Corrosion; Toxic; Carbon free; Stress corrosion cracking.

Photocatalytic and photoelectrocatalytic properties of anodic titanium dioxide nanotubes based on anodizing conditions

Yeonjin Kim, Rin Jung, Jaewon Lee, JeongEun Yoo*, Kiyoung Lee*

Department of Chemistry and Chemical Engineering, Inha University, Incheon, 22212, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 137-146.

Abstract

Nanosized TiO2 has been widely investigated in photoelectrochemical or photocatalytic applications due to their intrinsic properties such as suitable band position, high photocorrosion resistance, and surface area. In this study, to achieve the high efficiency in photoelectrochemical and photocatalytic performance, TiO2 nanotubular structures were formed by anodization at various temperatures and times. The morphological and crystal structure of the anodized TiO2 nanotubes (NTs) were characterized by scanning electron microscope (SEM) and X-ray diffraction (XRD). The photoelectrochemical (PEC) properties and incident photon-to-current conversion efficiency (IPCE) of the TiO2 NTs were studied with different lengths and morphologies. From the detailed investigations, the optimum thickness of TiO2 nanotubes was 3 ㎛. Moreover, we found that the optimum photocatalytic pollutant removal efficiency of TiO2 nanotubes for photodegradation of Rhodamine B (RhB) under simulated solar light was 5.34 μm of tube length.

Keywords

Anodization; TiO2 nanotubes; Photoelectrochemical water splitting; Incident photon-to-current efficiency; Photodegradation .

Enhancements of Crystallization and Opto-Electrical performance of ZnO/Ti/ZnO Thin Films

Jin-Kyu Janga,†, Yu-Sung Kimb,†, Yeon-Hak Leea, Jin-Young Choia,b, In-Sik Leeb, Dae-Wook Kimb, Byung-Chul Chab, Young-Min Konga, Daeil Kima,*

aSchool of Materials Science and Engineering, University of Ulsan, Ulsan 44776, Republic of Korea bAdvanced Forming Processes R&D Group, Korea Institute of Industrial Technology, Ulsan 44413, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 147-151.

Abstract

Transparent ZnO (100 nm thick) and ZnO/Ti/ZnO (ZTZ) films were prepared with radio frequency (RF) and direct current (DC) magnetron sputtering on the glass substrate at room temperature. During the ZTZ film deposition, the thickness of the Ti interlayer was varied, such as 6, 9, 12, and 15 nm, while the thickness of ZnO films was kept at 50 nm to investigate the effect of the Ti interlayer on the crystallization and opto-electrical performance of the films. From the XRD pattern, it is concluded that the 9 nm thick Ti interlayer showed some characteristic peaks of Ti (200) and (220), and the grain size of the ZnO (002) enlarged from 13.32 to 15.28 nm as Ti interlayer thickness increased. In an opto-electrical performance observation, ZnO single-layer films show a figure of merit of 1.4×10-11 Ω-1, while ZTZ films with a 9 nm-thick Ti interlayer show a higher figure of merit of 2.0×10-5 Ω-1.

Keywords

ZnO; Ti; XRD; AFM; Figure of merit.

A study on the manufacturing of durable and long afterglow phosphorescent paints added with rare earths for night visibility of pavement

Eunseok Wooa, Yunseok Noha, Jinho Leea, Yong-Wook Choib*, JongGee Kima*

aEA Bios Corporation, 49225 Busan, South Korea bAdvanced Energy Materials and Components R&D Group, Korean Institute of Industrial Technology (KITECH),

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 152-159.

Abstract

The night visibility of pavement is being considered as a global issue in the field of traffic safety. Although the spreading glass beads on the lane paints has been mainly used to secure night-visibility by utilizing the effect of retroreflection, obvious shortcoming of this method is that retroflection does not occur in the range where the headlights do not reach the glass beads. The use of functional paints including phosphorescent constituents could be a solution for overcoming fore-mentioned problem. SrAl2O4 based chemicals have not only good phosphorescent property, but also are chemically stable compared to existing ZnS based materials. However, this chemicals also need the improvement due to slightly reduced luminous effect in time. Herein, we developed novel paints showing enhanced phosphorescent properties by putting rare earth elements such as Eu, Dy and Y into SrAl2O4. These prepared phosphorescent pigments have displayed improved properties in terms of durability and long afterglow. For instance, the property of afterglow has been persisted after 5 hours with luminace of 20.6 mcd/m2.

Keywords

Phosphorescent paint; After-glow; Night visibility; Eco-friendly paint.

A study on the low resistive Al-Mo alloy for stretchable metallization

Min-Jun-Yia, Jin-Won-Baeb, Su-Yeon-Parkb, Jae-Ik-Choib, Geon-Ho-Kimc, Jong-Hyun-Seoa,c,*

aDepartment of Semiconductor Science, Engineering and Technology, Korea Aerospace University, Hwajeon, Goyang, Gyonggi-do 412-791, South Korea bDepartment of Materials Engineering, Korea Aerospace University, Hwajeon, Goyang, Gyonggi-do 412-791, South Korea cCuprum Materials, 76 Hanggongdaehang-ro, Deogyang-gu, Goyang 412-791, South Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 2, pp. 160-168.

Abstract

Recently, investigation on metallization is a key for a stretchable display. Amorphous metal such as Ni and Zr based amorphous metal compounds are introduced for a suitable material with superelastic property under certain stress condition. However, Ni and Zr based amorphous metals have too high resistivity for a display device’s interconnectors. In addition, these metals are not suitable for display process chemicals. Therefore, we choose an aluminum based amprhous metal Al-Mo as a interconnector of stretchable display. In this paper, Amorphous Forming Composition Range (AFCR) for Al-Mo alloys are calculated by Midema's model, which is between 0.1 and 0.25 molybdenum, as confirmed by X-ray diffraction (XRD). The elongation tests revealed that amorphous Al-20Mo alloy thin films exhibit superior stretchability compared to pure Al thin films, with significantly less increase in resistivity at a 10% strain. This excellent resistance to hillock formation in the Al20Mo alloy is attributed to the recessed diffusion of aluminum atoms in the amorphous phase, rather than in the crystalline phase, as well as stress distribution and relaxation in the aluminum alloy. Furthermore, according to the AES depth profile analysis, the amorphous Al-Mo alloys are completely compatible with existing etching processes. The alloys exhibit fast etch rates, with a reasonable oxide layer thickness of 10 nm, and there is no diffusion of oxides in the matrix. This compatibility with existing etching processes is an important advantage for the industrial production of stretchable displays.

Keywords

Aluminum-molybdenum alloy; Miedema's model; Stretchable display: Hillock; metal interconnector.

Vol. 56 No.3

Dielectric Breakdown Behavior of Anodic Oxide Films Formed on Pure Aluminum in Sulfuric Acid and Oxalic Acid Electrolytes

Hien Van Phama,b, Duyoung Kwona,c, Juseok Kima,c, Sungmo Moona,b,*

aNano-Surface Materials Division, Korea Institute of Materials Science, 797 Changwon-daero, Seongsan-gu, Changwon, Gyeongnam 51508, Republic of Korea bAdvanced Materials Engineering, Korea University of Science and Technology, 217 Gajeong-ro, Yuseong-gu, Daejeon, 34113, Republic of Korea cDepartment of Materials Science and Engineering, Pusan National University, 2 Busandaehak-ro 63beon-gil, Geumjeong-gu, Busan 46241, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 169-179.

Abstract

This work studies dielectric breakdown behavior of AAO (anodic aluminum oxide) films formed on pure aluminum at a constant current density in 5 ~ 20 vol.% sulfuric acid (SA) and 2 ~ 8 wt.% oxalic acid (OA) solutions. It was observed that dielectric breakdown voltage of AAO film with the same thickness increased with increasing concentration of both SA and OA solutions up to 15 vol.% and 6 wt.%, respectively, above which it decreased slightly. The dielectric breakdown resistance of the OA films appeared to be superior to that of SA films. After dielectric breakdown test, cracks and a hole were observed. The crack length increased with increasing SA film thickness but it did not increase with increasing OA film thickness. To explain the reason why shorter cracks formed on the OA films than the SA films after dielectric breakdown test, the generation of tensile stresses at the oxide/ metal interface was discussed in relation to porosity of AAO films obtained from cross-sectional morphologies.

Keywords

Anodic oxide film; Dielectric breakdown; Sulfuric acid; Oxalic acid; Aluminum.

Optimal pressure and temperature for Cu–Cu direct bonding in three-dimensional packaging of stacked integrated circuits

Seunghyun Yum, June Won Hyun*

Department of Physics, Dankook University, Cheonan 31116, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 180-184.

Abstract

Scholars have proposed wafer-level bonding and three-dimensional (3D) stacked integrated circuit (IC) and have investigated Cu–Cu bonding to overcome the limitation of Moore’s law. However, information about quantitative Cu–Cu direct-bonding conditions, such as temperature, pressure, and interfacial adhesion energy, is scant. This study determines the optimal temperature and pressure for Cu–Cu bonding by varying the bonding temperature to 100, 150, 200, 250, and 350 ℃ and pressure to 2,303 and 3,087 N/cm2. Various conditions and methods for surface treatment were performed to prevent oxidation of the surface of the sample and remove organic compounds in Cu direct bonding as variables of temperature and pressure. EDX experiments were conducted to confirm chemical information on the bonding characteristics between the substrate and Cu to confirm the bonding mechanism between the substrate and Cu. In addition, after the combination with the change of temperature and pressure variables, UTM measurement was performed to investigate the bond force between the substrate and Cu, and it was confirmed that the bond force increased proportionally as the temperature and pressure increased.

Keywords

Cu-to-Cu bonding; 3D stacking; 3D package; C2C; C2W.

Study of plating layer composition and corrosion characteristics according to product type

Ha-Neul Kima, Min-Gyu Hongb, Byoung-Lok Janga,*

aDepartment of Materials Processing and Engineering, Inha Manufacturing Innovation School, Incheon 21999, Republic of Korea bhiptech Co., Ltd., Ansan-si, Gyeonggi-do 15611, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 185-191.

Abstract

The lifetime and corrosion resistance of the coating depends on its thickness and composition. We checked how the plating progressed according to the shape of the product to be plated. There was no significant difference in the composition or thickness of the plating according to the shape of the separately plated products. Samples of different shapes collected from products with complex shapes showed no significant difference in composition depending on the shape, but significant differences in thickness. This difference is due to the difference in applied current density depending on the shape of the product.

Keywords

Plating; Etching; Corrosion; Zn-Ni Alloy; Microstructure.

Optimizing Graphene Growth on the Electrolytic Copper Foils by Controlling Surface Condition and Annealing Procedure

Woo Jin Lee, Ha Eun Go, Tae Rim Koo, Jae Sung Lee, Joon Woo Lee, Soun Gi Hong, Sang-Ho Kim*

School of Energy, Materials & Chemical Engineering, Korea University of Technology and Education, Cheonan City, Chungnam, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 192-200.

Abstract

Graphene, a two-dimensional material, has shown great potential in a variety of applications including microelectronics, optoelectronics, and graphene-based batteries due to its excellent electronic conductivity. However, the production of large-area, high-quality graphene remains a challenge. In this study, we investigated graphene growth on electrolytic copper foil using thermochemical vapor deposition (TCVD) to achieve a similar level of quality to the cold-rolled copper substrate at a lower cost. The combined effects of pre-annealing time, graphenized temperature, and partial pressure of hydrogen on graphene coverage and domain size were analyzed and correlated with the roughness and crystallographic texture of the copper substrate. Our results show that controlling the crystallographic texture of copper substrates through annealing is an effective way to improve graphene growth properties, which will potentially lead to more efficient and cost-effective graphene production. At a hydrogen partial pressure that is disadvantageous in graphene growth, electrolytic copper had an average size of 8.039 ㎛2, whereas rolled copper had a size of 19.092 ㎛2, which was a large difference of 42.1% compared to rolled copper. However, at the proper hydrogen partial pressure, electrolytic copper had an average size of 30.279 ㎛2 and rolled copper had a size of 32.378 ㎛2, showing a much smaller difference of 93.5% than before. This observation suggests this potentially leads the way for more efficient and cost-effective graphene production.

Keywords

TCVD; Copper; Graphene.

Effects of deposition temperature on the properties of SnO2:Eu3+ thin films grown by radio-frequency magnetron sputtering

Shinho Cho*

Department of Batteries Science and Engineering, Silla University, Busan 46958, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 201-207.

Abstract

Eu3+-doped SnO2 (SnO2:Eu3+) phosphor thin films were grown on quartz substrates by radio-frequency magnetron sputtering. The deposition temperature was varied from 100 to 400 ℃. The X-ray diffraction patterns showed that all the thin films had two mixed phases of SnO2 and Eu2Sn2O7. The 880 nmthick SnO2:Eu3+ thin film grown at 100 ℃ exhibited numerous pebble-shaped particles. The excitation spectra of SnO2:Eu3+ thin films consisted of a strong and broad peak at 312 nm in the vicinity from 250 to 350 nm owing to the O2-–Eu3+ charge transfer band, irrespective of deposition temperature. Upon 312 nm excitation, the SnO2:Eu3+ thin films showed a main emission peak at 592 nm arising from the 5D07F1 transition and a weak 615 nm red band originating from the 5D07F2 transition of Eu3+. As the deposition temperature increased, the emission intensities of two bands increased rapidly, approached a maximum at 100 ℃, and then decreased slowly at 400 ℃. The thin film deposited at 200 ℃ exhibited a band gap energy of 3.81 eV and an average transmittance of 73.7% in the wavelength range of 500 – 1100 nm. These results indicate that the luminescent intensity of SnO2:Eu3+ thin films can be controlled by changing the deposition temperature.

Keywords

Thin Film; Transmittance; Photoluminescence.

Synthesis of Ag-doped black ZnO nano-catalysts for the utilization of visible-light

Ui-Jun Kima, Hye-Min Kimb, Seung-Hyo Leea,*

aDivision of Ocean Advanced Materials Convergence Engineering, Korea Maritime & Ocean University, Busan 49112, Korea bDepartment of Materials Chemistry, Shinshu University, Nagano 380-8553, Japan

The Korean Society of Surface Science and Engineering, Vol. 56, No. 3, pp. 208-218.

Abstract

Photocatalysts are advanced materials which accelerate the photoreaction by providing ordinary reactions with other pathways. The catalysts have various advantages, such as low-cost, low operating temperature and pressure, and long-term use. They are applied to environmental and energy field, including the air and water purification, water splitting for hydrogen production, sterilization and selfcleaning surfaces. However, commercial photocatalysts only absorb ultraviolet light between 100 and 400 nm of wavelength which comprises only 5% in sunlight due to the wide band gap. In addition, rapid recombination of electron-hole pairs reduces the photocatalytic performance. Recently, studies on blackening photocatalysts by laser, thermal, and plasma treatments have been conducted to enhance the absorption of visible light and photocatalytic activity. The disordered structures could yield mid-gap states and vacancies could cause charge carrier trapping. Herein, liquid phase plasma (LPP) is adopted to synthesize Ag-doped black ZnO for the utilization of visible-light. The physical and chemical characteristics of the synthesized photocatalysts are analyzed by SEM/EDS, XRD, XPS and the optical properties of them are investigated using UV/Vis DRS and PL analyses. Lastly, the photocatalytic activity was evaluated using methylene blue as a pollutant.

Keywords

Liquid phase plasma; Photocatalysts; Ag-doped black ZnO; Visible light; Methylene blue.

Vol. 56 No.4

Vertically aligned cupric oxide nanorods for nitrogen monoxide gas detection

Jong-Hyun Park, Hyojin Kim

Department of Materials Science and Engineering, Chungnam National University

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 219-226.

Abstract

Utilizing low-dimensional structures of oxide semiconductors is a promising approach to fabricate relevant gas sensors by means of potential enhancement in surface-to-volume ratios of their sensing materials. In this work, vertically aligned cupric oxide (CuO) nanorods are successfully synthesized on a transparent glass substrate via seed-mediated hydrothermal synthesis method with the use of a CuO nanoparticle seed layer, which is formed by thermally oxidizing a sputtered Cu metal film. Structural and optical characterization by x-ray diffraction (XRD), scanning electron microscopy (SEM), and Raman spectroscopy reveals the successful preparation of the CuO nanorods array of the single monoclinic tenorite crystalline phase. From gas sensing measurements for the nitrogen monoxide (NO) gas, the vertically aligned CuO nanorod array is observed to have a highly responsive sensitivity to NO gas at relatively low concentrations and operating temperatures, especially showing a high maximum sensitivity to NO at 200 ℃ and a low NO detection limit of 2 ppm in dry air. These results along with a facile fabrication process demonstrate that the CuO nanorods synthesized on a transparent glass substrate are very promising for low-cost and high-performance NO gas sensors.

Keywords

Cupric oxide; Oxide semiconductor; Nanorod; NO gas sensor; Hydrothermal synthesis.

Dielectric relaxation properties in the lead scandium niobate

Yeon Jung Kim

College of Engineering, Dankook University

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 227-232.

Abstract

In this study, complex admittance as a function of temperature and frequency was measured to analyze the important relaxation properties of lead scandium niobate, which is physically important, although it is not an environmentally friendly electrical and electronic material, including lead. Lead scandium niobate was synthesized by heat treating the solid oxide, and the conductance, susceptance and capacitance were measured as a function of temperature and frequency from the temperature dependence of the RLC circuit. The relaxation characteristics of lead scandium niobate were found to be affected by contributions such as grain size, grain boundary characteristics, space charge, and dipole arrangement. As the temperature rises, the maximum admittance and susceptance increase in one direction, but the resonance frequency decreases below the transition temperature but increases after the phase transition.

Keywords

PSN ; Dielectric relaxation ; Cole-Cole diagram ; Thermal fluctuation.

Analysis of suppressed thermal conductivity using multiple nanoparticle layers

Tae Ho Noha, Ee Le Shimb,*

aBangmok College of Basic Studies, Myongji University, Yongin, 17046, Korea, bSchool of Mechanical, Automotive & Robot Engineering, Halla University, Wonju 26404, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 233-242.

Abstract

In recent years, energy-management studies in buildings have proven useful for energy savings. Typically, during heating and cooling, the energy from a given building is lost through its windows. Generally, to block the entry of ultraviolet (UV) and infrared (IR) rays, thin films of deposited metals or metal oxides are used, and the blocking of UV and IR rays by these thin films depends on the materials deposited on them. Therefore, by controlling the thicknesses and densities of the thin films, improving the transmittance of visible light and the blocking of heat rays such as UV and IR may be possible. Such improvements can be realized not only by changing the two-dimensional thin films but also by altering the zero-dimensional (0-D) nanostructures deposited on the films. In this study, 0-D nanoparticles were synthesized using a sol –gel procedure. The synthesized nanoparticles were deposited as deep coatings on polymer and glass substrates. Through spectral analysis in the UV–visible (vis) region, thin-film layers of deposited zinc oxide nanoparticles blocked >95 % of UV rays. For high transmittance in the visible-light region and low transmittance in the IR and UV regions, hybrid multiple layers of silica nanoparticles, zinc oxide particles, and fluorine-doped tin oxide nanoparticles were formed on glass and polymer substrates. Spectrophotometry in the UV–vis–near-IR regions revealed that the substrates prevented heat loss well. The glass and polymer substrates achieved transmittance values of 80 % in the visible-light region, 50 % to 60 % in the IR region, and 90 % in the UV region.

Keywords

Suppressed thermal conductivity; Nanoparticles; Zinc oxide; Fluorine-doped tin oxide; Deep coating.

Synthesis and Characterization of NiFe2O4 nanoparticle electrocatalyst for urea and water oxidation

Ki-Yong Yoona, Kyung-Bok Leea,b, Dohyung Kima,b, Hee Yoon Roha,b, Sung Mook Choia, Ji-hoon Leea, Jaehoon Jeonga, Juchan Yanga,*

aDepartment of Hydrogen Energy Materials, Korea Institute of Materials Science (KIMS), Changwon 51508, Korea, bDepartment of Materials Science and Engineering, Pusan National University, Busan 46241, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 243-249.

Abstract

Urea oxidation reaction (UOR) via electrochemical oxidation process can replace oxygen evolution reaction (OER) for green hydrogen production since UOR has lower thermodynamic potential (0.37 VRHE) than that of OER (1.23 VRHE). However, in the case of UOR, 6 electrons are required for the entire UOR. For this reason, the reaction rate is slower than OER, which requires 4 electrons. In addition, it is an important challenge to develop catalysts in which both oxidation reactions (UOR and OER) are active since the active sites of OER and UOR are opposite to each other. We prove that among the NiFe2O4 nanoparticles synthesized by the hydrothermal method at various synthesis temperatures, NiFe2O4 nanoparticle with properly controlled particle size and crystallinity can actively operate OER and UOR at the same time.

Keywords

Nanoparticle; Hydrothermal method; Oxygen evolution reaction; Urea oxidation; Hydrogen production.

Corrosion behavior of oxide layer formed on surface of high silicon aluminum alloy by PEO process

Deok-Yong Park

Department of Advanced Materials Engineering, Hanbat National University

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 250-258.

Abstract

Ceramic oxide layer was formed on the surface of high silicon aluminum alloy by using PEO (plasma electrolytic oxidation) process. The microstructure of the oxide layer was analyzed using scanning electron microscopy (SEM) and x-ray diffraction patterns (XRD). The high silicon aluminum alloy prior to PEO process consists of Al, Si and Al2Cu phases in XRD analysis, whereas Al2Cu phase selectively disappeared after PEO treatment. Considerable decrease of relative intensity in most of peaks in XRD results of the high silicon aluminum alloy treated by PEO process was observed. It may be attributed to the formation of amorphous phases after PEO treatment. The corrosion behavior of the high silicon aluminum alloy treated by PEO process was investigated using electrochemical impedance spectroscopy (EIS) and other electrochemical techniques (i.e., open circuit potential and polarization curve). Electroanalytical studies indicated that the high silicon aluminum alloy treated by PEO process shows greater corrosion resistance than that untreated by PEO process.

Keywords

Electrochemical process; plasma electrolytic oxidation; corrosion behavior; high silicon aluminum alloy; ceramic oxide layer.

Research on Recycling Technology for Spent Cathode Materials of Lithium-ion Batteries using Solid-state Synthesis

Donghun Kang, Joowon Im, Minseong Ko*

Department of Metallurgical Engineering, Pukyong National University

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 259-264.

Abstract

As the demand for lithium-ion batteries, a key power source in electric vehicles and energy storage systems, continues to increase for achieving global carbon neutrality, there is a growing concern about the environmental impact of disposing of spent batteries. Extensive research is underway to develop efficient recycling methods. While hydrometallurgy and pyrometallurgy methods are commonly used to recover valuable metals from spent cathode materials, they have drawbacks including hazardous waste and complex processes. Hence, alternative recycling methods that are environmentally friendly are being explored. However, recycling spent cathode materials still remains complex and energy-intensive. This study focuses on a novel approach called solid-state synthesis, which aims at regenerating the performance of spent cathode materials. The method offers a simpler process and reduces energy consumption. Optimal heat treatment conditions were identified based on experimental results, contributing to the development of sustainable recycling technologies for lithium-ion batteries.

Keywords

Lithium-ion battery; recycling; cathode materials; solid-state synthesis.

Photoelectrochemical performance of anodized nanoporous iron oxide based on annealing conditions

Dongheon Jeong, JeongEun Yoo*, Kiyoung Lee*

Department of Chemistry and Chemical Engineering, Inha University

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 265-272.

Abstract

Photoelectrochemical (PEC) water splitting is one of the promising methods for hydrogen production by solar energy. Iron oxide has been effectively investigated as a photoelectrode material for PEC water splitting due to its intrinsic property such as short minority carrier diffusion length. However, iron oxide has a low PEC efficiency owing to a high recombination rate between photoexcited electrons and holes. In this study, we synthesized nanoporous structured iron oxide by anodization to overcome the drawbacks and to increase surface area. The anodized iron oxide was annealed in Ar atmosphere with different purging times. In conclusion, the highest current density of 0.032 mA/cm2 at 1.23 V vs. RHE was obtained with 60 s of pursing for iron oxide(Fe-60), which was 3 times higher in photocurrent density compared to iron oxide annealed with 600 s of pursing(Fe-600). The resistances and donor densities were also evaluated for all the anodized iron oxide by electrochemical impedance spectra and Mott-Schottky plot analysis.

Keywords

Anodization: Iron oxide: Photoelectrochemical property: Heat treatment: Incident Photon-to-Electron Conversion Efficiency.

A Study on the bioactive surface modification of PEEK intervertebral fusion cage using various coating techniques

Su-Bin Noha,b,†, Su-Zy Parkb,†, Mun-Hwan Leeb,*

aDepartment of Healthcare Engineering, Jeonbuk National University, Jeonju 54896, Korea, bMedical Device Development Center, Osong Medical Innovation Foundation, Cheongju, 28160, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 273-282.

Abstract

In this study, the surface of Polyetheretherketone (PEEK) disks was modified to have a hydrophilic surface by applying a coating of Polyethylene glycol (PEG), Hyaluronic acid(HA), and Poly-Dopamine(PDA). The investigation aimed to examine whether the coated surfaces showed enhanced bioactivity for orthopedic applications compared to the pure PEEK. The microstructure, surface characteristics, and wettability of PEEK coated with PEG, HA, and PDA were analyzed using scanning electron microscopy(SEM), FT-IR spectrophotometer, Roughness Measurement System, Micro-Vickers, and Contact angle measurement. The mechanical properties were analyzed using a tensile testing machine, while the MTT assay for cell activity was analyzed using a microplate reader to measure optical density. According to the SEM and FT-IR results, the composition and crystal structure of PEG, HA and PDA coated surface were verified. Also, roughness, hardness, and contact angle were all improved in the coating group compared to the pure PEEK. We checked the HepG2 cell proliferation by using MTT assay on 7th days. In MTT assay results, HepG2 cell proliferation was increased with time, at 7 days, cell viability on discs coated with PDA was significantly higher than pure PEEK, PEG, HA coated group. PDA coated PEEK exhibited the highest surface roughness, hardness, contact angle, and cell activity. The mechanical properties were not affected by the presence of the coating.

Keywords

PEEK; Surface modification; Bioactivity; PEG (Polyethylene glycol); HA (Hyaluronic acid); Poly-Dopamine (PDA).

Preliminary Hazard Analysis of Vehicle with G-SAVE Technology

Ui Pil Chonga,*, Hyun Chul Parka, Young Soo Parka,Byung Chul Ahna, Deok Soo Hanb, Hyeon Jun Jeonb

aGraduate School of Industry, University of Ulsan, Ulsan, 44776, Korea, bKEMP Co., Ltd, 87, Hyomun 2-ro, Buk-gu, Ulsan, 44252, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 4, pp. 283-287.

Abstract

The structures for road safety are guard rails that protect the cars and passengers. If an accident occurs on the roads after a long period of installation, it may escalate into a major disaster. In order to repair many guard rails, the existing repair method of replacing them with new ones requires enormous financial resources. To solve this problem, the G-SAVE method was developed to repair the guard rail without replacing them. This method removes the rust on the surface of the guard rail and then performs ambient-dip galvanizing coating on it without replacing the new ones. No studies or reports have yet been made on the risk assessment of harmful substances, vehicles for these entire processes. Therefore, this paper focuses on risk assessment using the PHA(Preliminary Hazard Analysis) technique and conducts risk assessment for concept design stage of the coating vehicles.

Keywords

PHA; G-SAVE; Risk Assessment; Cleaning Vehicle; Coating Vehicle.

Vol. 56 No.5

The Effects of Drying Temperature on Chromate Treatment for Electroplated Zinc

Su-Byung Jeona,b, Ji-Won Choib, Byung-Ki Sonb, Injoon Sona,*

aDepartment of Materials Science and Metallurgical Engineering, Kyungpook National University, Daegu 41566, Korea bR&D Center, ILSUNG Plating Co. Ltd., Daegu 42697, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 289-298.

Abstract

In this study, the effect of drying temperature on characteristics of the trivalent chromate film on electroplated zinc was investigated. An zinc-electroplated iron specimen with a thickness of 5 μm was used for chromate treatment. Chromate treatment was conducted in a solution diluted 10 times from a mixture of Cr(NO3)3∙9H20 360 g/L, Co(NO3)2∙6H2O 60 g/L, Na2SO4 60 g/L, NH4F∙HF 25 g/L, and NaOH 20 g/L. The zinc electroplated specimen was treated using the chromate solution with pH 2.0 at 25 ℃ for 60 s. Subsequently, chromate-treated samples were dried in an electric furnace for 2h with temperature varied from 25 to 125 ℃. The corrosion rate increased with the increase in the drying temperature, and the surface morphology of the chromate-treated film was observed using FE-SEM. When the drying temperature changed, the color of the chromate film changed from green to yellow, and the thickness of the film changed from 362 to 241 nm, respectively. Additionally, corrosion resistance was evaluated via a salt spray test.

Keywords

Zinc Electroplating; Chromate; Corrosion Resistance; Drying Tempareture; Film Color; Film Thickness

Variations in electrode characteristics through simplification of phosphorusdoped NiCo2O4 electrode manufacturing process

Seokhee-Leea, *, Hyunjin Chaa, Jeonghwan Parka, Young Guk Sona, Donghyun Hwangb, *

a School of Materials Science and Engineering, Pusan National University, Busan 46241, Korea b Department of Batteries Science and Engineering, Silla University, Busan 46958, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 299-308.

Abstract

In this study, phosphorus (P)-doped nickel cobaltite (P-NiCo2O4) and nickel-cobalt layered double hydroxide (P-NiCo-LDH) were synthesized on nickel (Ni) foam as a conductive support using hydrothermal synthesis. The thermal properties, crystal structure, microscopic surface morphology, chemical distribution, electronic state of the constituent elements on the sample surface, and electrical properties of the synthesized P-NiCo2O4 and P-NiCo-LDH samples were analyzed using thermogravimetric analysis-differential scanning calorimetry (TGA-DSC), X-ray diffraction (XRD), field-emission scanning electron microscopy (FE-SEM), energy dispersive X-ray spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), cyclic voltammetry (CV), galvanostatic charge-discharge (GCD), and electrochemical impedance spectroscopy (EIS). The P-NiCo2O4 electrode exhibited a specific capacitance of 1,129 Fg-1 at a current density of 1 Ag-1, while the P-NiCo-LDH electrode displayed a specific capacitance of 1,012 Fg-1 at a current density of 1 Ag-1. When assessing capacity changes for 3,000 cycles, the P-NiCo2O4 electrode exhibited a capacity retention rate of 54%, whereas the P-NiCo-LDH electrode showed a capacity retention rate of 57%.

Keywords

NiCo2O4, Hydrothermal Method, Supercapacitor, Phosphorus Doping, Electrode, Energy Storage Device

A study on the process technology for controlling the shape and physical properties of melt-blown non-woven

Jae-Seok Jeonga*, Mikyung Kimb, Jung Woo Koc,d

aKorea Textile Development Institute, Daegu 41842, Korea bDYETEC Institute, Daegu 41706, Korea cDHTECH, Gyeongsangbuk-do 39821, Korea dSMEs Growth Support Department, Electronics and Telecommunications Research Institute, Daejeon 34129, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 309-319.

Abstract

Non-woven fabric is a textile product made by spinning thermoplastic polymers without manufacturing processes such as stretching, doubling, twisting, weaving, and knitting to form a sheet-shaped web in which fibers are tangled with each other, and then combining them by mechanical and physical methods. In addition, the non-woven fabric manufacturing process has various raw material choices, high productivity, so it is a textile manufacturing technology that can have various uses and increase added value. This study was conducted to control the shape and physical properties of products by improving the manufacturing method of melt-blown non-woven fabrics using process technology that easily changes the shape of non-woven fabrics and improves mechanical properties. In particular, it is considered that a non-woven fabric with a thin material shape and improved mechanical properties will be easily applied to a continuous secondary battery manufacturing industry such as roll to roll operation.

Keywords

non-woven, melt-blown, thermoplastic polymer, calendering, control, shape, physical property

Structural and Electrical Properties of Nickel Hydroxide Electrode Prepared by Hydrothermal Synthesis on Nickel Foam

Hyunjin Chaa, Seokhee Leea, Jeonghwan Parka, Young-Guk Sona, Donghyun Hwangb,*

a School of Materials Science and Engineering, Pusan National University, Busan 46241, Korea b Department of Batteries Science and Engineering, Silla University, Busan 46958, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 320-327.

Abstract

In this study, the nickel hydroxide (Ni(OH)2) electrode for supercapacitor was prepared via hydrothermal method. Based on the nickel (Ni) foam, the electrode does not require any additional binder material or post-processing. Nickel nitrate (Ni(NO3)2) and hexamethylenetetramine (C6H12N4) were used for synthesis, and the synthesis condition was 12 hours at 80 °C. X-ray diffraction (XRD) and field-emission scanning electron microscopy (FE-SEM) were used to analyze the structural characteristics of the electrode, and it shown that the nickel hydroxide was successfully prepared after only the one-step hydrothermal synthesis. The electrochemical properties were analyzed through the half-cell test. The prepared electrode shown a pair of oxidation/reduction peaks, indicating that the driving method included the redox reaction on the electrode surface. After the charge/discharge test, the specific capacitance was calculated as the value of 438 F/g at 3 A/g.

Keywords

Hydrothermal synthesis, Nickel hydroxide, Nickel foam, Supercapacitor

The effect of mechanical properties of carbon-based thin film on plasma nitrided injection mold steel

Hye-Min Kima, Dae-Wook Kimb*

aDepartment of Materials Chemistry, Shinshu University, Nagano 380-8553, Japan bAdvanced Manufacturing Process R&D Group, Ulsan Division, Korea Institute of Industrial Technology, 55, Jongga-ro, Jung-gu, Ulsan, 44313, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 328-334.

Abstract

The carbon-based films have various properties, which have been widely applied in industrial application. However, it has critical drawback for poor adhesion between films and metal substrate. In the present work, we have deposited carbon-based films on injection mold steel by plasma assisted chemical vapor deposition (PACVD). In order to improve adhesion, prior to film deposition, the substrate was nitriding-treated using PACVD. And its effect on the adhesion was investigated. Due to the pre-nitriding, the amorphous carbon nitride (a-CN:H) films presented 10 times higher adhesion (34.9 N) than that of un-nitirided. In addition, a friction coefficient was decreased from 0.29 to 0.15 for the amorphous carbon (a-C:H) due to improved adhesion. The obtained results demonstrated that pre-nitriding considerably improved the adhesion, and the relationship among adhesion, hardness, and surface roughness was discussed in detail.

Keywords

Plasma assistant chemical vapor deposition, Adhesion, Amorphous carbon nitride

Influence of Cu Composition on the Mechanical Properties and Microstructure of Ti–Al–Si–Cu–N thick films

Yeon-Hak Leea, Sung-Bo Heob, In-Wook Parkb, Daeil Kima*

aSchool of Materials Science and Engineering, University of Ulsan, Ulsan 44610, Korea bAdvanced Hybrid Production Technology Center, Korea Institute of Industrial Technology, Yangsan 50635, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 5, pp. 335-340.

Abstract

Quinary component of 3μm thick Ti-Al-Si-Cu-N films were deposited onto WC-Co and Si wafer substrates by using an arc ion plating(AIP) system. In this study, the influence of copper(Cu) contents on the mechanical properties and microstructure of the films were investigated. The hardness of the films with 3.1 at.% Cu addition exhibited the hardness value of above 42 GPa due to the microstructural change as well as the solid-solution hardening. The instrumental analyses revealed that the deposited film with Cu content of 3.1 at.% was a nano-composites with nano-sized crystallites (5–7 nm in dia.) and a thin layer of amorphous Si3N4 phase.

Keywords

Ti–Al–Si–Cu–N; Nano-composite; Nano-hardness; Microstructure; Arc ion plating.

Vol. 56 No.6

Rotary CVD Process for Surface Treatment of Powders

Jong-Hwan Leea and Goo-Hwan Jeonga,b,*

a Interdisciplinary Program in Advanced Functional Materials and Devices Development, Kangwon National University, Chuncheon 24341, Korea b Department of Battery Convergence Engineering, Kangwon National University, Chuncheon 24341, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 341-352.

Abstract

This paper reviews the potentials of a rotary chemical vapor deposition (RCVD) process for nanomaterial synthesis and coating on powder-based materials. The rotary reactor offers a significant improvement over traditional CVD methods having horizontal and fixed reaction chambers. The RCVD system yields enhanced productivity and surface coating uniformity of nanoparticles applied in various purposes, such as efficient heat dissipation, surface hardness enhancement, and enhanced energy storage performances. The effectiveness of the RCVD system would open up new possibilities in various applications because uniform coating on powder-based materials with massive productivity is inevitable to develop multi-functional materials with high reliability.

Keywords

Thermal chemical vapor deposition; Rotary chemical vapor deposition; Powder coating; Mass production.

Trends in image processing techniques applied to corrosion detection and analysis

Beomsoo Kim, Jaesung Kwon, Jeonghyeon Yang*

Department of Mechanical System Engineering, Gyeongsang National University, Tongyeong, Gyeongnam, 53064, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 353-370.

Abstract

Corrosion detection and analysis is a very important topic in reducing costs and preventing disasters. Recently, image processing techniques have been widely applied to corrosion identification and analysis. In this work, we briefly introduces traditional image processing techniques and machine learning algorithms applied to detect or analyze corrosion in various fields. Recently, machine learning, especially CNN-based algorithms, have been widely applied to corrosion detection. Additionally, research on applying machine learning to region segmentation is very actively underway. The corrosion is reddish and brown in color and has a very irregular shape, so a combination of techniques that consider color and texture, various mathematical techniques, and machine learning algorithms are used to detect and analyze corrosion. We present examples of the application of traditional image processing techniques and machine learning to corrosion detection and analysis.

Keywords

Corrosion, Color Models, Image Segmentation, Machine Learning

Safety risk management of ammonia to scale-up hydrogen production for transport and storage

HyungKuk Jua,b, Hyeokjoo Leea,b, Chang Hyun Leea,b, Sungyool Bongc,*

a Department of Energy Engineering, Dankook University, Cheonan 31116, South Korea b Clean Hydrogen and Ammonia Innovation Research Center, Dankook University, Cheonan 31116, South Korea c Department of Chemistry Education, Kongju National University, Kongju, Chungcheongnam-do 32588, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 371-379.

Abstract

Ammonia, which is closely related to our lives, has a significant impact on our lives as a representative substance for crop cultivation. Recently, it has gained attention as an efficient and productive hydrogen/storing substance that can replace fossil fuels. Efforts are being made to utilize it as a renewable energy source through thermochemical and electrochemical reactions. However, the use of ammonia, which encompasses the era, carries inherent toxicity, so a comprehensive understanding of ammonia safety is necessary. To ensure safety in the transportation and storage of ammonia and chemical substances domestically and internationally, national and organizational standards are being developed and provided through documents and simple symbols to help people understand. This review explores the chemical characteristics of ammonia, its impact on human health, and the global trends in safety standards related to ammonia. Through this examination, the paper aims to contribute to the discourse on the safety and risk management of ammonia transport and storage, crucial for achieving carbon neutrality and expanding the hydrogen economy.

Keywords

Ammonia; Ammonia Certification; Hydrogen Storage; Safety; Risk assessment

Pyroelectricity of BaTiO3-doped PMNT ferroelectric system for pyroelectric sensor

Yeon Jung Kim*

College of Engineering, Dankook University, Yongin 16890, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 380-385.

Abstract

In this study, an MPB PMNT system containing 0.05 to 0.10 wt.% BaTiO3 was synthesized using a traditional chemical method and its pyroelectricity was investigated. Pyroelectricity, dielectricity, and ferroelectricity of the synthesized BaTiO3-PMNT system were analyzed by heat treatment at 1240~1280 ℃ for 4 hours to evaluate its applicability as a pyroelectric sensor. Unlike the simple ABO3 ferroelectric, the BaTiO3-doped PMNT system exhibited phase transition characteristics over a wide temperature range typical of complex perovskite structures. Although no dramatic change could be confirmed depending on the amount of BaTiO3 added, stable pyroelectricity was maintained near room temperature and over a wide temperature range. When the amount of BaTiO3 added increased from 0.05BaTiO3-PMNT to 0.10BaTiO3-PMNT, the electric field slightly increased from 5.00x103 kV/m to 6.75x103 kV/m, and the maximum value of remanent polarization slightly increased from 0.223 C/m2 to 0.234 C/m2. The pyroelectric coefficients of 0.05BaTiO3-PMNT and 0.10BaTiO3- PMNT at room temperature were measured to be ~0.0084 C/m2K and ~0.0043 C/m2K, respectively. The relaxor ferroelectric properties of the BaTiO3-PMNT system were confirmed by analyzing the plot of Kmax/K versus (T-Tmax)γ. The BaTiO3-doped MPB PMNT system showed a distinct pyroelectric performance index at room temperature, and the values were Fv ~ 0.0362 m2/C, Fd ~ 0.575x10-4 Pa-1/2.

Keywords

BaTiO3 ; PMNT ; Ferroelectric hysteresis ; Pyroelectricity.

Reduction Kinetics of Gold Nanoparticles Synthesis via Plasma Discharge in Water

Sung-Min Kima, Woon-Young Leea, Jiyong Parkb,*, Sang-Yul Leec,*

a Heat and Surface Technology R&D Department, Korea Institute of Industrial Technology (KITECH), Incheon 21999, Republic of Korea b Advanced Joining & Additive Manufacturing R&D Department, Korea Institute of Industrial Technology (KITECH), Incheon 21999, Republic of Korea c Center for Surface Technology and Applications, Department of Materials

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 386-392.

Abstract

In this work, we describe the reduction kinetics of gold nanoparticles synthesized by plasma discharge in aqueous solutions with varied voltages and precursor (HAuCl4) concentrations. The reduction rate of [AuCl4] was determined by introducing NaBr to the gold colloidal solution synthesized by plasma discharge, serving as a catalyst in the reduction process. We observed that [AuCl4] was completely reduced when its characteristic absorption peak at 380 nm disappeared, indicating the absence of [AuCl4] for ligand exchange with NaBr. The reduction rate notably increased with the rise in discharge voltage, attributable to the intensified plasma generated by ionization and excitation, which in turn accelerated the reduction kinetics. Regarding precursor concentration, a lower concentration was found to retard the reduction reaction, significantly influencing the reduction kinetics due to the presence of active H+ and H radicals. Therefore, the production of strong plasma with high plasma density was observed to enhance the reduction kinetics, as evidenced by optical emission spectroscopy.

Keywords

Plasma process in aqueous solutions; ligand exchange reaction; reduction kinetics

Synthesis of Ce-doped In2O3 nanoparticles via a microwave-assisted hydrothermal pathway and their application as an ultrafast breath acetone sensor

Byeong-Hun Yua, Sung Do Yunb, Chan Woong Nab,*, Ji-Wook Yoona,*

aDepartment of Electronic and Information Materials Engineering, Division of Advanced Materials Engineering, Jeonbuk National University, Jeonju Baekjaedaero 567, Korea bDongnam Division, Korea Institute of Industrial Technology, Busan Baegyang-daero 804, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 393-400.

Abstract

Acetone, a metabolite detected from the exhaled breath of people doing a diet, can be used for non-invasive monitoring of diet efficiency. Thus, gas sensors with rapid response and recovery characteristics to acetone need to be developed. Herein, we report ultrafast acetone sensors using Ce-doped In2O3 nanoparticles prepared by the one-pot microwave-assisted hydrothermal method. The pure In2O3 sensor shows a high response and fast response time (τres = 6 s) upon exposure to 2 ppm acetone at 300 ℃, while exhibiting a relatively sluggish recovery speed (τrecov = 1129 s). When 20 wt% Ce is doped, the τrecov of the sensor significantly decreased to 45 s withholding the fast-responding characteristic (τres = 6 s). In addition, the acetone response (resistance ratio, S) of the sensor is as high as 5.8, sufficiently high to detect breath acetone. Moreover, the sensor shows similar acetone sensing characteristics even under a highly humid condition (relative humidity of 60%) in terms of τres (6 s), τrecov (47 s), and S (4.7), demonstrating its high potential in real applications. The excellent acetone sensing characteristics of Ce-doped In2O3 nanoparticles are discussed in terms of their size, composition, phase, and oxygen adsorption on the sensing surface.

Keywords

Gas sensor, acetone, fast recovery, Ce-In2O3, microwave-assisted hydrothermal synthesis

Effect of ZnO Nanoparticle Presence on SCC Mitigation in Alloy 600 in a Simulated Pressurized Water Reactors Environment

Sung-Min Kima, Woon Young Leea, Sekown Oha,*, Sang-Yul Leeb,*

aHeat & Surface Technology R&D Department, Korea Institute of Industrial Technology (KITECH), Incheon, 21999, Republic of Korea. bDepartment of Materials Engineering, Korea Aerospace University, 10540, Republic of Korea.

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 401-411.

Abstract

This study investigates the synthesis, characterization, and application of zinc oxide (ZnO) nanoparticles for corrosion resistance and stress corrosion cracking (SCC) mitigation in high-temperature and high-pressure environments. The ZnO nanoparticles are synthesized using plasma discharge in water, resulting in rod-shaped particles with a hexagonal crystal structure. The ZnO nanoparticles are applied to Alloy 600 tubes in simulated nuclear power plant atmospheres to evaluate their effectiveness. X-ray diffraction and X-ray photoelectron spectroscopy analysis reveals the formation of thermodynamically stable ZnCr2O4 and ZnFe2O4 spinel phases with a depth of approximately 35 nm on the surface after 240 hours of treatment. Stress corrosion cracking (SCC) mitigation experiments reveal that ZnO treatment enhances thermal and mechanical stability. The ZnO-treated specimens exhibit increased maximum temperature tolerance up to 310 °C and higher-pressure resistance up to 60 bar compared to non-treated ZnO samples. Measurements of crack length indicate reduced crack propagation in ZnO-treated specimens. The formation of thermodynamically stable Zn spinel structures on the surface of Alloy 600 and the subsequent improvements in surface properties contribute to the enhanced durability and performance of the material in challenging high-temperature and high-pressure environments. These findings have significant implications for the development of corrosion-resistant materials and the mitigation of stress corrosion cracking in various industries.

Keywords

SCC, ZnO nanoparticle, ECP, Spinel structure, PWR, Alloy 600

Optimization of fabrication and process conditions for highly uniform and durable cobalt oxide electrodes for anion exchange membrane water electrolysis

Hoseok Leea,b, Shin-Woo Myeonga, Jun-young Parka, Eon-ju Parka, Sungjun Heoa, Nam-In Kima, Jae-hun Leea, Jaehoon Jeonga, Jae-Yeop Jeonga, Song Jina, Jooyoung Leea, Sang Ho Leeb, Chiho Kima,*, Sung Mook Choia,c,*

aDepartment of Hydrogen Energy Materials, Korea Institute of Materials Science (KIMS), Changwon 51508, Korea bDepartment of Industrial Chemistry, Pukyong National University, Busan 608-739, Korea cAdvanced Materials Engineering, University of Science and Technology (UST), Daejeon 34113, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 412-419.

Abstract

Anion exchange membrane electrolysis is considered a promising next-generation hydrogen production technology that can produce low-cost, clean hydrogen. However, anion exchange membrane electrolysis technology is in its early stages of development and requires intensive research on electrodes, which are a key component of the catalyst-system interface. In this study, we optimized the pressure conditions of the hot-pressing process to manufacture cobalt oxide electrodes for the development of a high uniformity and high adhesion electrode production process for the oxygen evolution reaction. As the pressure increased, the reduction of pores within the electrode and increased densification of catalytic particles led to the formation of a uniform electrode surface. The cobalt oxide electrode optimized for pressure conditions exhibited improved catalytic activity and durability. The optimized electrode was used as the anode in an AEMWE single cell, exhibiting a current density of 1.53 A cm-2 at a cell voltage of 1.85 V. In a durability test conducted for 100 h at a constant current density of 500 mA cm-2, it demonstrated excellent durability with a low degradation rate of 15.9 mV kh-1, maintaining 99% of its initial performance.

Keywords

Hydrogen production, Anion exchange membrane water electrolysis, Oxygen evolution reaction, Cobalt Oxide, Highly uniform electrode.

Hydrogen sensor using Pt-loaded porous In2O3 nanoparticle structures

Sung Do Yuna,b, Yoon Myunga, Chan Woong Naa,*

aDongnam Division, Korea Institute of Industrial Technology, Busan 46938, Korea bDepartment of Materials Science and Engineering, Korea University, Seoul 02841, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 420-426.

Abstract

We prepared a highly sensitive hydrogen (H2) sensor based on Indium oxides (In2O3) porous nanoparticles (NPs) loaded with Platinum (Pt) nanoparticle in the range of 1.6~5.7 at.%. In2O3 NPs were fabricated by microwave irradiation method, and decorations of Pt nanoparticles were performed by electroless plating on In2O3 NPs. Crystal structures, morphologies, and chemical information on Pt-loaded In2O3 NPs were characterized by grazing-incident X-ray diffraction, field-emission scanning electron microscopy, energy-dispersive X-ray spectroscopy, respectively. The effect of the Pt nanoparticles on the H2-sensing performance of In2O3 NPs was investigated over a low concentration range of 5 ppm of H2 at 150–300 °C working temperatures. The results showed that the H2 response greatly increased with decreasing sensing temperature. The H2 response of Pt loaded porous In2O3 NPs is higher than that of pristine In2O3 NPs. H2 gas selectivity and high sensitivity was explained by the extension of the electron depletion layer and catalytic effect. Pt loaded porous In2O3 NPs sensor can be a robust manner for achieving enhanced gas selectivity and sensitivity for the detection of H2.

Keywords

Gas sensor, Hydrogen, fast recovery, In2O3 , microwave irradiation method, Platinum

Investigating adsorption ion characteristics on cobalt oxides catalyst in electrolysis of waste alkaline solutions using ab-initio study

Juwan Wooa, Jong Min Leeb, MinHo Seoa*

a Department of Nanotechnology Engineering, Pukyong National University, 45 Yongso-ro, Nam-gu, Busan 48547, Republic of Korea b Fuel Cell Research & Demonstration Center, Future Energy Research Division, Korea Institute of Energy Research (KIER), 20-41 Sinjaesaengeneogi-ro, Haseo-myeon, Buan-gun, Jeollabuk-do, 56332, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 427-436.

Abstract

In the industry, it is recognized that human activities significantly lead to a large amount of wastewater, mainly due to the increased use of water and energy. As a result, the growing field of wastewater resource technology is getting more attention. The common technology for hydrogen production, water electrolysis, requires purified water, leading to the need for desalination and reprocessing. However, producing hydrogen directly from wastewater could be a more cost-effective option compared to traditional methods. To achieve this, a series of first-principle computational simulations were conducted to assess how waste nutrient ions affect standard electrolysis catalysts. This study focused on understanding the adsorption mechanisms of byproducts related to the oxygen evolution reaction (OER) in anion exchange membrane (AEM) electrolysis, using Co3O4 as a typical non-precious metal catalyst. At the same time, efforts were made to develop a comprehensive free energy prediction model for more accurate predictions of OER results.

Keywords

: Electrolysis, Electrocatalyst, Oxygen evolution reaction, Density Functional Theory, Waste nutrient solutions

Nano particle size control of Pt/C catalysts manufactured by the polyol process for fuel cell application

Joon Heo, Hyukjun Youn, Ji-Hun Choi, Chae Lin Moon, Soon-Mok Choi*

School of Energy, Materials and Chemical Engineering, Korea University of Technology and Education (KOREATECH), Cheonan 31253, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 437-442.

Abstract

This research aims to enhance the efficiency of Pt/C catalysts due to the limited availability and high cost of platinum in contemporary fuel cell catalysts. Nano-sized platinum particles were distributed onto a carbon-based support via the polyol process, utilizing the metal precursor H2PtCl6⦁6H2O. Key parameters such as pH, temperature, and RPM were carefully regulated. The findings revealed variations in the particle size, distribution, and dispersion of nano-sized Pt particles, influenced by temperature and pH. Following sodium hydroxide treatment, heat treatment procedures were systematically executed at diverse temperatures, specifically 120, 140, and 160 °C. Notably, the thermal treatment at 140 °C facilitated the production of Pt/C catalysts characterized by the smallest platinum particle size, measuring at 1.49 nm. Comparative evaluations between the commercially available Pt/C catalysts and those synthesized in this study were meticulously conducted through cyclic voltammetry, X-ray diffraction (XRD), and field-emission scanning electron microscopy-energy dispersive X-ray spectroscopy (FE-SEM EDS) methodologies. The catalyst synthesized at 160 °C demonstrated superior electrochemical performance; however, it is imperative to underscore the necessity for further optimization studies to refine its efficacy.

Keywords

Polyol process; Pt/C catalyst; Fuel cell; Nano particle; Cyclic voltammetry

Corrosion Behavior of Ytterbium Silicates in Water Vapor Atmosphere at High Temperature for Environmental Barrier Coating Applications

Min-Ji Kima,b, Jae-Hyeong Choia,b, Seongwon Kima,*

aEngineering Materials Center, Korea Institute of Ceramic Engineering and Technology, Icheon, Gyeonggi-do 17303, Republic of Korea bDepartment of Materials Science and Engineering, Korea University, Anam-dong, Seongbuk-gu, Seoul 02841, Republic of Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 443-450.

Abstract

SiC/SiCf CMC is vulnerable to water vapor corrosion at a high temperature of 1500℃. So, EBC (Environmental Barrier Coating) materials are required to protect Si-based CMCs. Ytterbium silicates are reported to coefficient of thermal expansion (CTE) similar to that of the based material, such as SiC/SiCf CMC. When the EBC materials expose to high temperature environment, the interface between ytterbium silicates and SiC/SiCf CMC is not separated, and the coating purpose can be safely achieved. For the perspective of EBC applications, thermally grown oxide (TGO) layer with different CTE is formed by the reaction with water vapor in EBC, which leads to a decrease in life time. In this study, we prepare the two types of ytterbium silicates to observe the corrosion behavior during the expose to high temperature and water vapor. In order to observe this behavior, the steam-jet furnace is prepared. In addition, phase formation of these ytterbium silicates is analyzed with microstructures by the before/after steam-jet evaluation at 1500℃ for 100 h.

Keywords

Environmental barrier coatings(EBCs); ytterbium disilicate; steam-jet; phase formation.

The emissivity and opto-electrical properties of ZnO/Cu/ZnO thin films for the vehicle applications

Yeon-Hak Leea, Sun-Kyung Kimb, Tae-Yong Eomb, Yong-Ha Jeongc, Sang-Woo Soc, Young-Gil Sond, Dong-Il Sonb, Daeil Kima*

aSchool of materials science and engineering, University of Ulsan, Korea bKBI Dongkook Ind. Co., Ltd, Ulsan, Korea cAutomotive Parts Institute Center, Ulsan Technopark, Ulsan, Korea dNara Mold & Die Co., Changwon, Korea

The Korean Society of Surface Science and Engineering, Vol. 56, No. 6, pp. 451-456.

Abstract

Transparent conducting films having a three layered structure of ZnO/Cu/ZnO (ZCZ) were deposited onto the glass substrates by using RF and DC magnetron sputtering at room temperature. The emissivity and opto-electrical properties of the films were investigated with a varying thickness(5, 10, 15 nm) of the Cu interlayer. With increasing the Cu thickness to 15 nm, the films showed a enhanced electrical properties. Although ZnO 30/Cu 15/ZnO 30 nm film shows a lower resistivity of 5.2×10−5 Ωcm, it’s visible transmittance is deteriorated by increased optical absorbtion of the films. In addition, X-ray diffraction patterns indicated that the insertion of Cu interlayer improve the grain size of ZnO films, which is favor for the electrical and optical properties of transparent conducting films. From the observed low emissivity of the films, it is concluded that the ZCZ thin films with optimal thickness of Cu interlayer can be applied effectively for the car’s window coating materials.

Keywords

ZnO/Cu/ZnO, Magnetron sputtering, Emissivity, Electrical property, X-ray diffraction.

  • Vol. 55 No.1
  • Vol. 55 No.2
  • Vol. 55 No.3
  • Vol. 55 No.4
  • Vol. 55 No.5
  • Vol. 55 No.6

Vol. 55 No.1

Highly ordered TiO2 nanotubes; Synthesis and applications

JeongEun Yoo, Kiyoung Lee*

Department of Chemistry and Chemical Engineering, Inha University, Incheon 22212, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 1, pp. 1-8.

Abstract

Titanium dioxide (TiO2) is one of the most intensively investigated materials in materials science. Mostly, TiO2 has been used in the form of nanoparticles, but recently new highly ordered TiO2 nanotubes (U-tube) has been introduced and applied to variou

Keywords

Anodization, TiO2 nanotubes, Photocatalysis, Memristor, Photoelectrochemistry

Effect of form of applied current on the formation behavior of PEO films on Al6082 alloy

Sungmo Moon1,2,*, Juseok Kim1,3 and Heon-Cheol Shin3

1Surface Technology Division, Korea Institute of Materials Science, 51508 Korea 2Advanced Materials Engineering, Korea University of Science and Technology, 34113 Korea 3Department of Materials Science and Engineering, Pusan National University, 46241 Kor

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 1, pp. 9-17.

Abstract

This work is concerned with the formation behavior of PEO (Plasma Electrolytic Oxidation) films on Al6082 alloy under the application of direct current (DC) and alternating current (AC) in an alkaline solution. Arc initiation voltage became much lower by

Keywords

Form of current, Plasma electrolytic oxidation, PEO, AA6082

The effect of Na2MoO4 addition on the formation and corrosion resistance of Cr-electroplated layer of low carbon steel

Ki Chang Bae1, Kiwook Kim1, Juho Kim1 and Junghoon Lee1,*

1Department of Metallurgical Engineering, Pukyong Nationa University, Busan 48547, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 1, pp. 18-23.

Abstract

Presence of cracks in electrodeposited hard chromium layer, which provide a path of corrosive media to steel substrate, is a serious issue in metal finishing with chromium electroplating. In this study, we added sodium molybdate in an electrolyte for chro

Keywords

Low carbon steel, Chromium, Electroplating, Na2MoO4, Corrosion resistance

Effect of pre-treatment in 0.5 M oxalic acid containing various NH4F concentrations on PEO Film Formation of AZ91 Mg Alloy

Duyoung Kwon1,2, Pung-Keun Song2, Sungmo Moon1,3*

1Nano-Surface Materials Division, Korea Institute of Materials Science, Republic of Korea 2Department of Materials Science and Engineering, Pusan National University, Republic of Korea 3Advanced Materials Engineering, Korea University of Science and Techn

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 1, pp. 24-31.

Abstract

This study investigated the effect of pre-treatment on the PEO film formation of AZ91 Mg alloy. The pre-treatment was conducted for 10 min at room temperature in 0.5 M oxalic acid (C2H2O4) solution containing various ammonium fluoride (NH4F) concentration

Keywords

AZ91 Mg alloy, Plasma electrolytic oxidation, Pre-treatment

Characteristics of nickel cobalt oxide (NiCo2O4) nanosheet electrodes prepared by hydrothermal synthesis and heat treatment

Seokhee Leea, Hyunjin Chaa, Sangwoon Leea, Juna Kima, Jeonghwan Parkb, Donghyun Hwangb, Young Guk Sona,*

aSchool of Materials Science and Engineering, Pusan National University, Busan 46241 bDivision of Materials Science and Engineering, Silla University, Busan 46958

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 1, pp. 32-37.

Abstract

In a carbon-zero social atmospher, research is underway to reduce the use of fossil fuels. Interest in cleaner energy sources and their storage system is growing, and among them, research on effective energy storage is being actively conducted. Energy s

Keywords

NiCo2O4, Hydrothermal synthesis, Supercapacitor

Vol. 55 No.2

Transition-metal oxalate-based electrodes for alkaline water electrolysis : a review

Jaeyun Ha, Yong-Tae Kim*, Jinsub Choi*

Department of Chemistry and Chemical Engineering, Inha University 22212, 100 Inha-ro, Michuhol-gu, Incheon, South Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 38-50.

Abstract

As a low-cost and high-efficiency electrocatalysts with high performance and stability become a key challenge in the development of the practical use of water electrolysis, there is an intense interest in transition-metal oxalate-based materials. Transiti

Keywords

Transition metal; Oxalate; Water electrolysis; Electrocatalysts.

Recent research trend of supercapacitor and chemical sensor using composite of ZIF-8 and carbon-based material

Sang Jun Kima,b, Jae Min Leea, Seung Geun Joa, Eun Been Leea, Seoung-Ki Leea and Jung Woo Leea,*

aDepartment of Materials Science and Engineering, Pusan National University, Busan 46241, Republic of Korea bInstitute of Materials Technology, Pusan National University, Busan 46241, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 51-62.

Abstract

Metal-organic framework (MOF) is one of the representative porous materials composed of metal ions and organic linkers. In spite of many advantages of the MOFs such as high specific surface area and ease of structure control, drawbacks have become obsta

Keywords

Metal-organic framework; ZIF-8; Carbon-based materials; Composite; Supercapacitor; Sensor

Cupric oxide thin film as an efficient photocathode for photoelectrochemical water reduction

Jong-Hyun Park, Hyojin Kim*

Department of Materials Science and Engineering, Chungnam National University, Daejeon 34134, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 63-69.

Abstract

Preparing various types of thin films of oxide semiconductors is a promising approach to fabricate efficient photoanodes and photocathodes for hydrogen production via photoelectrochemical (PEC) water splitting. In this work, we investigate the feasibility

Keywords

Cupric oxide; Oxide thin film; Photocathode; Photoelectrochemical water reduction; Hydrogen production

A comparative study of electrochemical properties in CrN films prepared by inductively coupled plasma magnetron sputtering

Hoon Jang and Sung-Yong Chun*

Department of Advanced Materials Science and Engineering, Mokpo National University, Jeonnam 58554, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 70-76.

Abstract

In this paper, we compared the properties of the chromium nitride (CrN) films prepared by inductively coupled plasma magnetron sputtering (ICPMS). As a comparison, CrN film prepared by a direct current magnetron sputtering (dcMS) is also studied. The cry

Keywords

Inductively coupled plasma; Corrosion resistance; Chromium Nitride

Relationship between inductively coupled plasma and crystal structure, mechanical and electrical properties of MoN coatings

Hoon Jang and Sung-Yong Chun*

Department of Advanced Materials Science and Engineering, Mokpo National University, Jeonnam 58554, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 77-83.

Abstract

Nanocrystalline MoN coatings were prepared by inductively coupled plasma magnetron sputtering (ICPMS) changing the plasma power from 0 W to 200 W. The properties of the coatings were analyzed by x-ray diffraction, field emission scanning electron microsco

Keywords

Inductively coupled plasma; Υ-Mo2N; Crystal structure; Roughness; Electrical resistivity

Study on the preparation of electrochemical exfoliated graphene/ Fe oxide compound according to synthetic conditions

Eunjin Park, Yong-Tae Kim*, Jinsub Choi*

Department of Chemistry and Chemical Engineering, Inha University 22212, 100 Inha-ro, Michuhol-gu, Incheon, South Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 84-90.

Abstract

With the growing interest in energy storage and conversion systems including secondary batteries, capacitors, and water electrolysis, various electrode materials are being developed to improve the energy efficiency. Among them, graphene is regarded as one

Keywords

Graphene; Transition metal; Electrochemical exfoliation

Effect of Ag interlayer on the optical and electrical properties of ZnO thin films

Hyun-Jin Kima,b, Jin-Kyu Janga, Jae-Wook Choia,b, Yeon-Hak Leea, Sung-Bo Heob, Young-Min Konga, Daeil Kima,*

aSchool of Materials Science and Engineering, University of Ulsan, Ulsan 44610, Korea bKorea Institute of Industrial Technology, Yangsan 50635, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 91-95.

Abstract

ZnO single layer (60 nm thick) and ZnO with Ag interlayer (ZnO/Ag/ZnO; ZAZ) films were deposited on the glass substrates by using radio frequency (RF) and direct current (DC) magnetron sputter to evaluate the effectiveness of Ag interlayer on the optical

Keywords

ZnO/Ag/ZnO; Magnetron sputtering; Visible transmittance; Electrical resistivity.

Structural, optical, and morphological properties of BaWO4:Sm3+ phosphor thin films grown at different deposition temperature

Shinho Cho*

Department of Materials Science and Engineering, Silla University, Busan 46958, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 96-101.

Abstract

The effects of the growth temperature on the structural, optical, and morphological properties of BaWO4:Sm3+ phosphor thin films were investigated. The BaWO4:Sm3+ thin films were grown on quartz substrates at several growth temperatures by radio-frequency

Keywords

Thin Film, Photoluminescence, Growth temperature

Deposition behavior of cyanide-free electroless Au plating solution using thiomalic acid as complexing agent and aminoethanethiol as reducing agent and characteristics of plated Au film

Jaeho Hana,*, DongHyun Kimb

aECTECH Co., Ltd., Incheon, 226165, Republic of Korea bMSC Co., Ltd., Incheon, 21698, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 102-119.

Abstract

Gold plating is used as a coating of connecter in printed circuit boards, ceramic integrated circuit packages, semiconductor devices and so on, because the film has excellent electric conductivity, solderability and chemical properties such as durability

Keywords

cyanide-free plating; electroless Au plating; Immersion plating; Autocatalytic plating; complexing agent; reducing agent; deposition rate; solderability.

Analysis of cyanide free electroless Au plating solution by capillary elecrophoresis

Jaeho Hana,*, DongHyun Kimb

aECTECH Co., Ltd., Incheon, 226165, Republic of Korea bMSC Co., Ltd., Incheon, 21698, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 2, pp. 120-132.

Abstract

In the non-cyanide-based electroless Au plating solution using thiomalic acid as a complexing agent and aminoethanethiol as a reducing agent, analysis of each component constituting the plating solution is essential for the analysis of the reaction mecha

Keywords

Cyanide free plating; electroless Au plating; Immersion ating; Autocatalytic plating; Capillary Electrophoresis; Complexing agent; Reducing agent.

Vol. 55 No.3

Properties and coating technology of metallic bipolar plate for polymer electrolyte fuel cells

Sungmo Moona,b*, Suyeon Leea,b, Duyoung Kwona,c

aSurface Materials Division, Korea Institute of Materials Science, Republic of Korea bAdvanced Materials Engineering, Korea University of Science and Technology, Republic of Korea cDepartment of Materials Science and Engineering, Pusan National University

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 133-142.

Abstract

This paper reviews bipolar plate materials and coatings for polymer electrolyte fuel cell. First, six roles and 10 requirements of the bipolar plate are described in detail. Secondly, type of materials for the bipolar plate and their advantages and disadv

Keywords

Fuel cell; PEFC; Bipolar plate; Coatings

Corrosion behaviors of plasma electrolytic oxidation (PEO) treated highsilicon aluminum alloys

Deok-Yong Parka,*, Chong-Hyun Changb, Yong-Jun Oha, Nosang V. Myungc, Bongyoung Yood

aDepartment of Advanced Materials Engineering, Hanbat National University, Daejeon, 34158, Republic of Korea bCalifornia NanoSystems Institute, University of California, Los Angeles, CA 90025, USA cDepartment of Chemical and Biomolecular Engineering, Univ

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 143-155.

Abstract

Ceramic oxide layers successfully were formed on the surface of cast Al alloys with high Si contents using plasma electrolytic oxidation (PEO) process in electrolytes containing Na2SiO3, NaOH, and additives. The microstructure of the oxide layers was syst

Keywords

Electrochemical process; plasma electrolytic oxidation (PEO); corrosion behaviors; high-silicon aluminum alloys.

Suggestion for deep learning approach to solve the interference effect of ammonium ion on potassium ion-selective electrode

Min-Yeong Kima, Jae-Yeong Heoa, Eun Hun Ohc, Joo-Yul Leea, and Kyu Hwan Leea, b,*

aDepartment of Electrochemistry, Surface Technology Division, Korea Institute of Materials Science (KIMS), Changwon, 51508, Republic of Korea bAdvanced Materials Engineering, University of Science and Technology (UST), Changwon, 51508, Republic of Korea c

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 156-163.

Abstract

An ammonium ion with a size and charge similar to that of potassium can bind to valinomycin, which is used as an ion carrier for potassium, and cause a meaningful interference effect on the detection of potassium ions. Currently, there are few ion sensors

Keywords

: K ion detection; ion-selective electrode; NH4 + interference behavior; K+ sensor; deep learning.

Corrosion image analysis on galvanized steel by using superpixel DBSCAN clustering algorithm

Beomsoo Kima, Yeonwon Kimb, Kyunghwang Leec, Jeonghyeon Yanga*

aDepartment of Mechanical System Engineering, Gyeongsang National University, Tongyeong, 53064, Korea bDivision of Mechatronics Engineering, Mokpo National Maritime University, Mokpo, 58628, Korea cSteel Solution R&D Center, POSCO, Inchen, 21985, Kore

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 164-172.

Abstract

Hot-dip galvanized steel(GI) is widely used throughout the industry as a corrosion resistance material. Corrosion of steel is a common phenomenon that results in the gradual degradation under various environmental conditions. Corrosion monitoring is to tr

Keywords

Corrosion; Superpixel; DBSCAN; k-means clustering; HSV color space.

Roll-to-roll process for large-area transfer of Ag nanowire electrode

Yangkyu Parka, Jae Pil Kimb, Wan Ho Kimb, Kang Junga, *, Ho-Jung Jeongb, *

a Department of Mechanical Design Engineering, Chonnam National University, 50 Daehak-ro, Yeosu, Chonnam 59626, South Korea b Lighting Materials and Components Research Center, Korea Photonics Technology Institute (KOPTI), Gwangju 61007, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 173-179.

Abstract

This study presents a roll-to-roll process which is capable of Ag nanowire (AgNW) transfer from polyethylene terephthalate (PET) film to polycarbonate (PC) film. We developed a roll-to-roll machine that consists of two film suppliers, a coater of photo-cu

Keywords

Roll-to-roll; Ag nanowire; AgNW/PET; AgNW/PC.

Development of catalyst-substrate integrated copper cobalt oxide electrode using electrodeposition for anion exchange membrane water electrolysis

Dohyung Kima,b, Geul Han Kima, Sung Mook Choia, Ji-hoon Leea, Jaehoon Junga, Kyung-Bok Leea,b, Juchan Yanga,

aDepartment of Hydrogen Energy Materials, Korea Institute of Materials Science (KIMS), Changwon 51508, Korea bDepartment of Materials Science and Engineering, Pusan National University, Busan 46241, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 3, pp. 180-186.

Abstract

The production of hydrogen via water electrolysis (i.e., green hydrogen) using renewable energy is key to the development of a sustainable society. However, most current electrocatalysts are based on expensive precious metals and require the use of highly

Keywords

Hydrogen production; Anion exchange membrane water electrolysis; Oxygen evolution reaction; Electrodeposition; Direct growth.

Vol. 55 No.4

Electrodeposited WO3 films and their application in electrochromic boards

Dae-Hyeon Kim, Kwang-Mo Kang, and Yoon-Chae Nah*

School of Energy, Materials, and Chemical Engineering, Korea University of Technology and Education (KOREATECH), 1600 Chungjeol-ro, Cheonan, Chungnam 31253, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 187-195.

Abstract

WO3 thin films were synthesized by electrodeposition, and their electrochromic properties were investigated. The application of static voltage produced WO3 films with a smooth, compact surface morphology, and the film thickness linearly increased with the

Keywords

Electrochromism, Electrodeposition, WO3, 3D printer, electrochromic boards

Anodic oxidation behavior of AZ31 Mg alloy in aqueous solution containing various NaF concentrations

Sungmo Moona,b*, Duyoung Kwona,c

aNano Surface Materials Division, Korea Institute of Materials Science, Gyeongnam 51508, Republic of Korea bAdvanced Materials Engineering, Korea University of Science and Technology, Daejeon 34113, Republic of Korea cDepartment of Materials Science and E

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 196-201.

Abstract

This paper deals with anodic oxidation behavior of AZ31 Mg alloy in aqueous solutions containing various NaF concentrations from 0.01 M to 1 M. Three different voltage-time curves and anodic oxide formation behaviors appeared with concentration of NaF in

Keywords

AZ31 Mg alloy; Plasma electrolytic oxidation; Anodic oxidation behavior; NaF.

Comparison of Deposition Behavior and Properties of Cyanide-free Electroless Au Plating on Various Underlayer Electroless Ni-P films

Dong-Huyn Kim

MSC Co., Ltd.

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 202-214.

Abstract

Internal connections between device, package and external terminals for connecting packaging and printed circuit board are normally manufactured by electroless Ni-P plating followed by immersion Au plating (ENIG process) to ensure the connection reliabili

Keywords

Cyanide-free plating; Electroless Au plating; Immersion plating; Autocatalytic plating, Deposition rate; Solderability; Particle size.

Photoelectrochemical property of thermal copper oxide thin films

Yongseon Choia, JeongEun Yooa,b,*, Kiyoung Leea,*

a Department of Chemistry and Chemical Engineering, Inha University, Incheon, 22212, Korea b Education and Research Center for Smart Energy Materials and Process, Inha University, Incheon, 22212, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 215-221.

Abstract

In the present work, copper oxide thin films were formed by heat-treatment method with different temperatures and atmosphere, e.g., at 200 ~ 400 ℃; in air and Ar atmosphere. The morphological, electrical and optical properties of the thermally fabricated

Keywords

Photoelectrochemistry; Heat-treatment; Copper oxide; Water splitting; Thermal oxide.

Effect of benzothiazole additives and properties of copper foils on high current density

Tae-Gyu Wooa,b*, Byeoung-Jae Kangc, Jong-Jae Parkc, Il-Song Parkc,d*

a Graduate School of Flexible and Printable Electronics, Jeonbuk National University, Jeonbuk 54896, Republic of Korea b LANL-CBNU Engineering Institute Korea, Jeonbuk National University, Jeonbuk 54896, Republic of Korea c Metallurgy Engineering, Jeonbuk

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 222-230.

Abstract

The electroplating for copper foils has many advantages in economics. During the electroplating, the selection of appropriate additives is needed to manufacture copper foils with various properties. Therefore, it is investigated the initial plating voltag

Keywords

benzothiazole; copper foil; electroplating; surface roughness; additives.

Degradation diagnosis of parallel-connected lithium-ion battery cells via non-constructive electrochemical approach

Garam Lee, Jiyoon Jeong, Yong-Tae Kim*, Jinsub Choi*

Department of Chemical and Chemical Engineering, Inha University, Incheon, 22212, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 231-235.

Abstract

As environmental pollution becomes more serious, the demand for electric vehicles (EVs) and lithium-ion batteries for electric vehicles is rapidly increasing worldwide. Accordingly, the amount of waste batteries is also increasing, and a technology for re

Keywords

Degradation diagnosis; Parallel-connected cells; Open circuit voltage; Lithium-ion batteries.

Effect of electropolishing process time on electrochemical characteristics in seawater for austenitic stainless steel

Hyun-Kyu Hwanga, Dong-Ho Shina, Ho-Seong Heoa, Seong-Jong Kimb,*

aGraduate school, Mokpo national maritime university, 91, Haeyangdaehak-ro, Mokpo-si, Jeollanam-do, 58628, Korea bDivision of marine engineering, Mokpo national maritime university, 91, Haeyangdaehak-ro, Mokpo-si, Jeollanam-do, 58628, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 4, pp. 236-246.

Abstract

The purpose of this investigation is to examine the corrosion resistance and electrochemical characteristics in seawater of UNS S31603 with electropolishing process time. The roughness improvement rate after electropolishing was improved by about 78% comp

Keywords

Electropolishing; Surface roughness; UNS S31603; Potentiodynimic polarization; EIS.

Vol. 55 No.5

Review on Atomic Layer Deposition of HfO2-based Ferroelectrics for Semiconductor Devices

Younghwan Leea,+, Taegyu Kwonb,+, Min Hyuk Parka,b*

aResearch Institute of Advanced Materials, Seoul National University, Seoul 08826, Republic of Korea bDepartment of Materials Science and Engineering, College of Engineering, Seoul National University, Seoul 08826, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 247-260.

Abstract

Since the first report on ferroelectricity in Si-doped hafnia (HfO2), this emerging ferroelectrics have been considered promising for the next-generation semiconductor devices with their characteristic nonvolatile data storage. The robust ferroelectricity

Keywords

semiconductor; ferroelectric; memory device; atomic layer deposition; vacuum technology.

Enhanced CO2 electrocatalytic conversion via surface treatment employing low temperature plasma

Yong-Wook Choi*

Advanced Energy Materials and Components R&D Group, Korean Institute of Industrial Technology (KITECH), 46938 Busan, South Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 261-272.

Abstract

CO2 electroreduction is considered as a means to overcome climate change by converting CO2 into value-added chemicals and liquid fuels. Although numerous researchers have screened versatile metal for the use of electrodes, and looked into the reaction mec

Keywords

CO2 electroreduction; Plasma; Surface treatment; Electrocatalyst design.

Microstructural Analysis on Oxide Film of Al6061 Exposed to Atmospheric Conditions

Junyeong Jo, Daeyeop Kwon, Wonjun Choi, Chi Bum Bahn*

School of Mechanical Engineering, Pusan National University, Busan 46241, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 273-283.

Abstract

Al6061 aluminum alloy specimens were exposed to atmospheric conditions for maximum 24 months. 24-month exposure specimen showed some more frequent and larger size of corrosion products and pitting on the surface compared with the 12-month exposure specime

Keywords

Aluminum alloy; Al6061; Atmospheric corrosion; pitting corrosion; Intergranular corrosion.

ZnO/Cu/Al2O3 transparent heaters fabricated by magnetron sputtering

Changheum Min, Dooho Choi*

School of Advanced Materials Engineering, Dong-Eui University, Busan 47340, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 284-291.

Abstract

Herein, we studied ultrathin Cu-layer-based transparent heaters embedded between a ZnO underlayer and an Al2O3 overlayer. The anti-reflecting functions for the ZnO and Al2O3 layers by independently varying the layer thicknesses, with the Cu layer thicknes

Keywords

PEO Film Formation Behavior of AZ31 Mg Alloy under Pulse Current

Sungmo Moona,b*

aSurface Technology Division, Korea Institute of Materials Science, Republic of Korea bAdvanced Materials Engineering, University of Science and Technology, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 292-298.

Abstract

In this study, PEO (plasma electrolytic oxidation) film formation behavior of AZ31 Mg alloy under application of 300 Hz pulse current was studied by the analyses of V-t curve, arc generation behavior, PEO film thickness and morphology of PEO films with tr

Keywords

Plasma electrolytic oxidation; AZ31 Mg alloy; Anodic oxide film; Pulse current.

Effect of underlayer electroless Ni-P plating on deposition behavior of cyanide-free electroless Au plating

DongHyun Kima*, Jaeho Hanb

aMSC Co., Ltd., Incheon, 21698, Republic of Korea bECTECH Co., Ltd., Incheon, 226165, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 5, pp. 299-307.

Abstract

Gold plating is used as a coating of connector in printed circuit boards, ceramic integrated circuit packages, semiconductor devices and so on, because the film has excellent electric conductivity, solderability and chemical properties such as durability

Keywords

cyanide-free plating; electroless Au plating; Immersion plating; Autocatalytic plating; deposition rate.

Vol. 55 No.6

Surface modification of materials by thermal plasma

Seong-Pyo Kang, Han Jun Lee, Tae-Hee Kim*

Department of Chemical Engineering, Wonkwang University, Iksan 54538, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 308-318.

Abstract

The surface modification and treatment using thermal plasma were reviewed in academic fields. In general, thermal plasma is generated by direct current (DC) and radiofrequency (RF) power sources. Thermal spray coating, a typical commercial process using t

Keywords

Thermal plasma, Surface modification, Surface treatment, Coating.

Durability enhancement of anion exchange membranes for water electrolysis: an updated review

Mahamuda Aktera,c, Jong-Hyeok Parka,c, Beom-Seok Kimb, Minyoung Leeb, Dahye Jeongb, Jiyun Shinb, Jin-Soo Parka,b,c,*

aDepartment of Civil, Environmental and Biomedical Engineering, Sangmyung University, Cheonan 30166, Republic of Korea bDepartment of Green Chemical Engineering, Sangmyung University, Cheonan 30166, Republic of Korea cFuture Environment and Energy Researc

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 319-327.

Abstract

Ion exchange membranes have been developed from laboratory tools to industrial products with significant technical and trade impacts in the last 70 years. Today, ion exchange membranes are successfully applied for water and energy for different electro-me

Keywords

Anion exchange membrane, Durability, Water electrolysis.

Area selective atomic layer deposition via surface reaction engineering: a review

Eun-Chong Ko, Ji Sang Ahn, Jeong Hwan Han*

Department of Materials Science and Engineering, Seoul National University of Science and Technology, Seoul 01811, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 328-341.

Abstract

Area selective atomic layer deposition (AS-ALD) is a bottom-up nanopattern fabrication method that can grow the ALD films only on the desired substrate areas without using photolithography and etching processes. Particularly, AS-ALD has attracted great at

Keywords

Area selective atomic layer deposition, selectivity, precursor, activation, deactivation.

Label-free and sensitive detection of purine catabolites in complex solutions by surface-enhanced raman spectroscopy

Batmend Davaa-Ochira,b, Iris Baffour Ansaha,b, Sung Gyu Parka, Dong-Ho Kima,b*

aNano-Bio Convergence Department, Korea Institute of Materials Science (KIMS), Changwon, Gyeongnam 51508, Republic of Korea bAdvanced Materials Engineering Division, University of Science and Technology (UST), 217 Gageong-ro, Yuseong-gu, Daejeon 34113, Re

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 342-352.

Abstract

Purine catabolite screening enables reliable diagnosis of certain diseases. In this regard, the development of a facile detection strategy with high sensitivity and selectivity is demanded for point-of-care applications. In this work, the simultaneous det

Keywords

Surface-enhanced Raman spectroscopy, Au nanopillars, electrodeposition, hotspots, uric acid, xanthine, hypoxanthine.

A study on the high transparent and antistatic thin films on sodalime glass by reactive pulsed DC magnetron sputtering

Jong-Gook Jung, Sil-Mook Lim*

Department of Advanced Materials Engineering, Tech University of Korea, Gyeonggi 15073, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 353-362.

Abstract

Recently, transmittance of photomasks for ultra-violet (UV) region is getting more important, as the light source wavelength of an exposure process is shortened due to the demand for technologies about high integration and miniaturization of devices. Mean

Keywords

Reactive DC magnetron sputtering, Antireflection coatings, Antistatic coatings, Transparent conductive coatings, Electrostatic damage.

Influence of electron irradiation on the structural and optoelectronics properties of ZTZ thin films prepared by magnetron sputtering

Byung-Chul Chaa,†, Jin-Kyu Jangb,†, Jin-Young Choia,b, In-Sik Leea, Dae-Wook Kima, Yu-Sung Kima, Daeil Kimb,*

aAdvanced Forming Processes R&D Group, Korea Institute of Industrial Technology, Ulsan 44413, Republic of Korea bSchool of Materials Science and Engineering, University of Ulsan, Ulsan 44776, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 363-367.

Abstract

Transparent ZnO/Ti/ZnO (ZTZ) tri-layered films were prepared with radio frequency (RF) and direct current (DC) magnetron sputtering on the glass substrate. The thickness of the ZnO and Ti films was kept at 50 and 10 nm to consider the effect of the electr

Keywords

ZnO, Ti, XRD, AFM, Figure of merit.

Analysis of phase formation behavior of YSZ-based composites according to rare earth and other oxide doping amounts

Yong Seok Choia,b, Gye Won Leea,b, Chang Woo Jeona, Sahn Nahmb, Yoon Suk Oha*

aKorea Institute of Ceramic Engineering and Technology, Engineering Materials Center, Icheon, 17303, Republic of Korea bDepartment of Materials Science and Engineering, Korea University, Seoul, 02841, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 368-375 .

Abstract

YSZ (Yttria Stabilized Zirconia) is used as a thermal barrier coating material for gas turbines due to its low thermal conductivity and high fracture toughness. However, the operating temperature of the gas turbine is rising according to the market demand

Keywords

TBC, Modified YSZ, X-Ray Diffraction, Phase analysis, Tetragonality.

Phase formation and microstructural characteristics of ytterbium silicates coatings fabricated by plasma spraying with Ar/He gas compositions for environmental barrier coating applications

Jae-Hyeong Choia, Seongwon Kima,*, Ji-Yoo Kimb, Hung Soo Moonb

aEngineering Materials Center, Korea Institute of Ceramic Engineering and Technology, Icheon, Gyeonggi-do 17303, Republic of Korea bResearch Center of SewonHardfacing co., ltd. Wanju-gun, Jeollabuk-do, 58618, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 376-382 .

Abstract

Yb2Si2O7 has a coefficient of thermal expansion similar to that of the base material of SiC and has excellent corrosion resistance in a high-temperature oxidizing atmosphere including water vapor, so it is being studied as one of the materials for environ

Keywords

Environmental barrier coatings (EBCs), Yb2Si2O7, Phase formation, Microstructural characteristics.

Influence of natural and accelerated weathering of polycarbonate

Jung-mi Moon, Ho-Jin Jang, Chang-Hwan Kim*

Climate&environmental real-scale testing center, Korea Conformity Laboratories, Jincheon, 27872, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 383-389 .

Abstract

Natural(outdoor) and accelerated(artificial) weathering tests were performed to investigate their influence on polycarbonate. The polycarbonate materials were prepared of various formulations divided into three batches, with existing, development material

Keywords

Outdoor weathering, Accelerated weathering, Yellow-index, Polycarbonate.

The effect of plasma treatment to improve adhesion strength of parylene-C coated medical grade SUS304

Dong-Guk Kima,d, Tae-Ha Songa, Yong-Hoon Jeongc, Kwan-Su Kangc,d, Deok-kyu Yoona, Min-Uk Kima,e, Young-Jae Wooa, Yo-Han Seob, Kyung-Ah Kimd, Ji-hyoung Roha*

aDepartment of Medical Device Development Center, Daegu-Gyeongbuk Medical Innovation Foundation (K MEDI hub), Daegu 41061, South Korea bNew Drug Development Center, Daegu-Gyeongbuk Medical Innovation Foundation (K MEDI hub), Daegu 41061, South Korea cDepa

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 390-397 .

Abstract

Parylene-C which was mainly used for industries such as electronics, machinery and semiconductors has recently been in the spotlight in the medical field due to its properties such as corrosion resistance and biocompatibility. In this study we intend to d

Keywords

Parylene-C coating, SUS304, SEM, Contact Angle, coating test.

Defect detection of vacuum insulation panel using image analysis based on corner feature detection

Beom-Soo Kima, Jeonghyeon Yanga, Yeonwon Kimb*

aDepartment of Mechanical System Engineering, Gyeongsang National University, Tongyeong 53064, Korea bDivision of Marine Mechatronics, Mokpo National Maritime University, Mokpo 58628, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 398-402.

Abstract

Vacuum Insulation Panel (VIP) is an high energy efficient insulation system that facilitate slim but high insulation performance, based on based on a porous core material evacuated and encapsulated in a multi-barrier envelope. Although VIP has been on the

Keywords

Vacuum insulation panel, Harris corner detection, Image processing, crumpled paper, aging test.

Grain size measurement based on marked watershed algorithm

Beomsoo Kima, Sangdoo Yoona, Jaesung Kwona, Sungwoong Choia, Jungpil Nohb, Jeonghyeon Yanga*

aDepartment of Mechanical System Engineering, Gyeongsang National University, Tongyeong 53064, Korea bDepartment of Energy Mechanical Engineering, Gyeongsang National University, Tongyeong, 53064, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 403-407.

Abstract

Grain size of material is important factor in evaluating mechanical properties. Methods for grain size determination are described in ASTM grain size standards. However, conventional method require pre-treatment of the surface to clarify grain boundaries.

Keywords

Grain size, Scanning electron microscope, Watershed algorithm.

A study on Au-Sn alloy plating layer improving reliability of electrical contacts

Jong Hwan Choi, Injoon Son*

Department of Materials Science and Metallurgical Engineering, Kyungpook National University, Daegu 41566, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 408-416 .

Abstract

In this study, the effect of Au-Sn alloy coating on reliability of electrical contacts was investigated via comparison with Au-Co alloy coating. The results show that Au-Sn alloy exhibited lower contact resistance and higher solder spreadability than thos

Keywords

Au-Sn alloy plating, Au alloy plating, Contact resistance, Connection reliability, Thermal aging.

Fabrication of functional aluminum surface through anodization mode transition

Youngju Park, Chanyoung Jeong *

Department of Advanced Materials Engineering, Dong-eui University, 176 Eomgwang-ro, Busanjin-gu

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 417-424 .

Abstract

Keywords

Antifouling technology and sea trial verificationaccording to surface treatment

Deok-Hyun Hana, Hyeok-Jun Kohb, Hang-Chul Junga,*

aAdvanced Materials and Processing Center, Institute for Advanced Engineering(IAE) 175-28, Goan-ro 51 beon-gil, Baegam-myeon, Cheoin-gu, Yongin-si, Gyeonggi, 17180, Korea bCenter for Green Energy and Industry Intelligence, Institute for Advanced Engineeri

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 425-432 .

Abstract

Antifouling paints that inhibit the attachment and contamination of marine organisms mainly use TBT compounds, but because of their toxic components, they cause ecosystem disturbance and environmental destruction problems, so It is necessary to research e

Keywords

Anti-foulin, Surface treatment, Silane coating, Marine organism, Sea trial verification.

Analysis of dew point and corrosion resistance for power plant economizer tube with exhaust gas temperature and sulfuric acid concentration

Jae-Hoon Choia, Seung-Jun Leeb,*

aKunsan national university, 558 Daehak-ro, Gunsan-si, Jeollabuk-do, 54150, Korea bDivision of marine engineering, Kunsan national university, 558 Daehak-ro, Gunsan-si, Jeollabuk-do, 54150, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 433-440.

Abstract

Environmental pollution caused by power plant exhaust gas is highlighted and eco-friendly regulations are being strengthened. However, due to the abundant reserves and low prices of coal, still the most used for power generation in the world. Therefore, f

Keywords

Dew point, Corrosion rate, Exhaust gas temperature, Sulfuric acid concentration.

Effect of chemical vapor depositon capacity on the physical characteristics of carbon-coated SiOx

Seokju Maenga,†, Woojin Kwaka,†, Heonsoo Parka,b, Yong-Tae Kim a,c,*, Jinsub Choi a,c,*

aDepartment of Chemistry and Chemical Engineering, Inha University, 22212 Incheon, Republic of Korea bDae Joo Electronic Materials Co., Ltd., Republic of Korea cCore Facility Center for Sustainable Energy Materials, Inha University, 22212 Incheon, Republi

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 441-447.

Abstract

Silicon-based materials are one of the most promising anode active materials in lithium-ion battery. A carbon layer decorated on the surface of silicon particles efficiently suppresses the large volume expansion of silicon and improves electrical conducti

Keywords

Silicon suboxide, Carbon coating, Chemical vapor deposition (CVD).

Corrosion resistance at high temperature condition of Cr Films Formed on hot-dip Al-Si plated steel sheet

Min-Ju Honga, Seung-Hyo Leeb, Myeong-Hoon Leea,c*

aDivision of Marine Engineering, Korea Maritime and Ocean University, Korea bDepartment of Ocean Advanced Materials Convergence Engineering, Korea Maritime and Ocean University, Korea cInterdisciplinary Major of Maritime AI Convergence, Korea Maritime and

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 448-459.

Abstract

Generally, steel is the most commonly used in the industry because of good strength, processability and cost-effectiveness. Steel can be surface-treated such as coating or used as an alloy by adding elements such as Cr, Ni, Zr, and Al to increase corrosio

Keywords

Hot dip Al-Si plated steel, Cr film, High temperature condition, Corrosion resistance, Fe based intermetallic compounds.

Effect of addition of Tl+ and Pd2+ on the texture and hardness of the non-cyanide gold plating layer

Wonyoung Heo, Injoon Son*

Department of Materials Science and Metallurgical Engineering, Kyungpook National University, Daegu 41566, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 55, No. 6, pp. 460-468.

Abstract

Due to its high electrical conductivity, low contact resistance, good weldability and high corrosion resi-stance, gold is widely used in electronic components such as connectors and printed circuit boards (PCB). Gold ion salts currently used in gold plati

Keywords

Gold, Electroplating, Gold sulfite, Thallium, Palladium.

  • Vol. 54 No.1
  • Vol. 54 No.2
  • Vol. 54 No.3
  • Vol. 54 No.4
  • Vol. 54 No.5
  • Vol. 54 No.6

Vol. 54 No.1

Electrochemical properties of porous AuCu dendrite surface for the oxygen reduction reaction in alkaline solutions

Kim, Min-Yeong;Lee, Jong Won;Cho, Soo Yeon;Park, Da Jung;Jung, Hyun Min;Lee, Joo Yul;Lee, Kyu Hwan;

Department of Electrochemistry, Surface Technology Division, Korea Institute of Materials Science(KIMS);Department of Electrochemistry, Surface Technology Division, Korea Institute of Materials Science(KIMS);Department of Electrochemistry, Surface Technol

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 1-11.

Abstract

Porous dendrite structure AuCu alloy was formed using a hydrogen bubble template (HBT) technique by electroplating to improve the catalytic performance of gold, known as an excellent oxygen reduction reaction (ORR) catalyst in alkaline medium. The rich Au

Keywords

oxygen reduction reaction;AuCu;alloy;etching;porous surface;dendrite;

Effect of concentration of H<sub>2</sub>SO<sub>4</sub> on electrochemical properties of equipped Al sacrificial anode to prevent the corrosion and discolor of silver surface

Shin, Byung-Hyun;Chung, Won-Sub;

The Institute of Materials Technology, Departments of Materials Science and Engineering, Pusan National University;Departments of Materials Science and Engineering, Pusan National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 12-17.

Abstract

Among the various precious metals, silver is used in various fields because of its low price than other precious metals. However, the surface of silver remains after rain in the atmosphere containing sulfur ions and chlorine ions, causing silver corrosion

Keywords

Silver;Al sacrificial anode;surface corrosion;discolor;sulfuric acid;

Manufacture and Surface Structure Characteristics of Mn-Doped (K, Na)NbO<sub>3</sub> Films

Kim, Yeon Jung;Byun, Jaeduk;Hyun, June Won;

College of Engineering, Dankook University;Department of Physics, Dankook University;Department of Physics, Dankook University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 18-24.

Abstract

KNN is widely used in the electronic industry such as memory devices, sensors, and capacitors due to various structural, electrical, and eco-friendly properties. In this study, Mn-doped KNN was prepared by adopting a sol-gel method with advantages of low

Keywords

KNN;Manganese (Mn) ion;Sol-gel;Thin film;

Study on the narrowed nanopores of anodized aluminum oxide template by thin-film deposition using e-beam evaporation

Lee, Seung-Hun;Lee, Minyoung;Kim, Chunjoong;Kim, Kwanoh;Yoon, Jae Sung;Yoo, Yeong-Eun;Kim, Jeong Hwan;

Department of Nano Manufacturing Technology, Korea Institute of Machinery and Materials;Department of Nano Manufacturing Technology, Korea Institute of Machinery and Materials;Department of Materials Science and Engineering, Chungnam National University;D

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 25-29.

Abstract

The fabrication of nanopore membrane by deposition of Al2O3 film using electron-beam evaporation, which is fast, cost-effective, and negligible dependency on substance material, is investigated for potential applications in water purification and sensors.

Keywords

Nanopore membrane;Anodic aluminum oxide(AAO);Thin-film deposition;Electron-beam evaporation;

Properties of double-layered anodizing films on Al alloys formed by two consecutive anodizings

Jeong, Nagyeom;Choi, Jinsub;

R&D center, YKMC Inc.;Program in Metals and Materials Process Engineering, Inha University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 30-36.

Abstract

In this study, double-layered anodizing films were formed on Al 5052 and Al 6061 alloys consecutively first in sulfuric acid and then in oxalic acid, and hardness, withstand voltage, surface roughness and acid resistance of the anodizing films were compar

Keywords

Aluminum;consecutive two-anodizing process;Oxalic acid;Sulfuric acid anodizing;Hardness;Dielectric breakdown;Acid resistance;

Effect of Hexa-methylenetetramine (HMT) on Nucleation and Growth Behaviors of Ni(OH)<sub>2</sub> Nanosheets Produced by Electrodeposition

Kim, Dong Yeon;Son, Injoon;Choi, Mun-Hyun;

Department of Materials Science and Metallurgical Engineering, Kyungpook National University;Department of Materials Science and Metallurgical Engineering, Kyungpook National University;Dongkang Tech Corp.;

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 1, pp. 37-42.

Abstract

Electrodeposition is a synthetic method that allows fine control of the nucleation and growth factors of metals and is a suitable method for studying the nucleation and growth of Ni(OH)2. Hexa-methylenetetramine (HMT) helps to form Ni(OH)2 nanosheets by i

Keywords

Electrodeposition;Hexa-methylenetetramine (HMT);<TEX>$Ni(OH)_2$</TEX> nanosheet;Scharifker-Hills nucleation theory model;

Vol. 54 No.2

Study of High Temperature of Inconel 740 Alloy in Air and Ar-0.2%SO2 Gas

Dong Bok Lee1, Min Jung Kim2

1School of Advanced Materials Science and Engineering, Sungkyunkwan University, Suwon 16419, Korea, 2Advanced material application department, Korea Polytechnic College, 478 Munemiro, Bupyeong-gu, Incheo 21417, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 43-52.

Abstract

The Ni-based superalloy, Inconel 740, was corroded between 800 and 1100oC for up to 100 hr in air and Ar-0.2%SO2 gas in order to study its corrosion behavior in air and sulfur/oxygen environment. It displayed relatively good corrosion resistance in both e

Keywords

Alloys, casting, oxidation, SO2-corrosion, Inconel 74

Optimization of tetrahedral amorphous carbon (ta-C) film deposited with filtered cathodic vacuum arc through Taguchi robust design

Seung-Yun Kwak a,b , Young-Jun Jang b , Hojun Ryu a,b , Jisoo Kimc,d , and Jongkuk Kimb*

aDepartment of mechanical engineering, Pusan National University (PNU), Busan 46241, Korea b Extreme Environmental Coating Department, Korea Institute of Materials Science (KIMS), Changwon, 51508, Korea dDepartment of Advanced Science and Technology Conve

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 53-61.

Abstract

The properties of tetrahedral amorphous Carbon (ta-C) film can be determined by multiple parameters and comprehensive effects of those parameters during a deposition process with filtered cathodic vacuum arc (FCVA). In this study, Taguchi method was ado

Keywords

Filtered cathodic vacuum arc (FCVA); Taguchi robust design; Tetrahedral amorphous carbon (ta-C);Diamond like carbon (DLC)

Microstructural Analysis on Oxide Film of Al2024 Exposed to Atmospheric Conditions

Daeyeop Kwon, Wonjun Choi, Chi Bum Bahn

School of Mechanical Engineering, Pusan National University, Busan 46241, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 62-70.

Abstract

Al2024 aluminum alloy specimens were exposed to atmospheric conditions for maximum 24 months and analyzed by electron microscopes to characterize their corrosion behavior and oxide film characteristics. As the exposure time increased from 12 months to 2

Keywords

Aluminum alloy, Al2024, Atmospheric corrosion, pitting corrosion, Intergranular corrosion

Effect of Neodymium concentration on electrochemical properties of 925 silver

Byung-Hyun Shin a , Seungjin Jung b , and Wonsub Chung b*

a The Institute of Materials Technology, Departments of Materials Science and Engineering, Pusan National University, Busan 609-735, Republic of Korea bSchool of Materials Science and Engineering, Pusan National University, Busan 609-735, Republic of Kore

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 71-76.

Abstract

Ag925, silver with added copper, is popular alloy due to its low price. However, it has a difficult to use because of the low corrosion resistance. In various alloys, neodymium (Nd) works as an element to improve corrosion resistance by reacting with in

Keywords

Silver, Neodymium, Electrochemical properties, Potentiodynamic polarization curve, Electrochemical impedance spectroscopy

Investigation on the polystyrene surface coating method of graphene oxide

Jaebum Parka , Jihoon Leea , Jeung Soo Huha , Danbi Parkb , Jeong Ok Limb*

aSchool of Convergence & Fusion System Engineering, Kyungpook National University, Sangju-si, 37224, Republic of Korea. bBiomedical Research Institute, Joint Institute for Regenerative Medicine, Kyungpook National University, School of Medicine, Kyu

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 77-83.

Abstract

In this study, we investigated various coating methods of graphene oxide on the surface of a petri dish made of polystyrene and analyzed the physical and chemical properties of the coated surface. For coating, spinning, spraying and pressing methods w

Keywords

Graphene oxide, Spin coating, Spray coating, Hand-press coating

Detection of corrosion on steel plate by using Image Segmentation Method

Beomsoo Kima, Yeonwon Kimb, Jeonghyeon Yanga*

aDepartment of Mechanical System Engineering, Gyeongsang National University, Tongyeong, Gyeongnam, 53064, Korea bDivision of Marine Mechatronics, Mokpo National Maritime University, Mokpo, 58628, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 84-89.

Abstract

The visual inspection method is widely used for corrosion damage analysis of steel plate due to the cost-efficient, fast and reasonably accurate results. However, visual inspection of corrosion deteriorated degree has a problem that the reliability of res

Keywords

Image Segmentation, Grabcut, HSV color

Enhancement of Condensation Heat Transfer of Anodized Aluminum by Teflon Coating and Oil-Impregnation

Minjoo Kang 1 , Jonghoon Lee 1 , Soojin Cha 1 , Yeaji Shin 1 , Donghyun Kim 2 , Kyung-Ja Kim 2 , Junghoon Lee 1*

1Department of Metallurgical Engineering, Pukyong National University, Busan 48547, Republic of Korea 2Business Support Division, Korea Institute of Ceramic Engineering and Technology, 101, Soho-ro, Jinju-si, Gyeongsangnam-do 52851, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 90-95.

Abstract

Surface modification technique enabling the control of condensation provides various benefit in various engineering systems, such as heat transfer, desalination, power plants, and so on. In this study, lubricant oil-impregnation into Teflon-coated nanop

Keywords

Condensation Heat Transfer; Anodic Aluminum Oxide; Hydrophobic; Nanoporous Structure

Effect of Annealing Temperature on the Properties of NaNbO3:Eu 3+ Phosphor Thin Films Deposited on Quartz Substrates

Shinho Cho*

Department of Materials Science and Engineering, Silla University, Busan 46958, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 2, pp. 96-101.

Abstract

NaNbO3:Eu 3+ phosphor thin films were grown on quartz substrates by radio-frequency magnetron sputtering at a growth temperature of 100 oC, with subsequent annealing at temperatures of 800, 900, and 1000 oC. The effects of annealing temperature on th

Keywords

Thin Film, Photoluminescence, Annealing

Vol. 54 No.3

Corrosion Behaviors of Laser-welded Super Duplex Stainless Steel(UNS S32506) Tube with Post-Weld Heat Treatment Conditions

Dong Min Cho1, Jin-seong Park1, Seung Gab Hong2, Joong-Ki Hwang3, and Sung Jin Kim1,*

1Department of Advanced Materials Engineering, Sunchon National University, Jungang-ro, Suncheon 57922, Republic of Korea 2POSCO Technical Research Laboratories, Donghaean-ro, Pohang 37859, Republic of Korea 3School of Mechanical Engineering, Tongmyong Un

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 102-111.

Abstract

The corrosion behaviors of laser-welded super duplex stainless steel tubes with post-weld heat treatment(PWHT) conditions(950, 1000, 1050, 1100 ℃ for 5 and 30 min) were evaluated by electrochemical potentiodynamic polarization and critical pitting tempera

Keywords

Super duplex stainless steel, UNS S32506, Corrosion, PWHT, Laser welding

Luminescent Properties and Energy Transfer Efficiency of BaWO4:Dy3+, Eu3+ White Light-Emitting Phosphors

Shinho Cho*

Department of Materials Science and Engineering, Silla University, Busan 46958, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 112-118.

Abstract

Dy3+- and Eu3+-codoped BaWO4 phosphors for white light-emitting diode were synthesized with different activator ions via a solid-state reaction process. The structural, morphological, and optical properties of the BaWO4:Dy3+,Eu3+ phosphors were investigat

Keywords

Phosphor, Photoluminescence, Solid-state reaction

Influence of Ag Interlayer on the Optical and Electrical Properties of SnO2 Thin Films

Jin-Kyu Janga, Hyun-Jin Kima, Jae-Wook Choia, Yeon-Hak Leea, Sung-Bo Heob, Yu-Sung Kimc, Young-Min Konga*, Daeil Kima*

aSchool of Materials Science and Engineering, University of Ulsan, Ulsan 44776, Korea bKorea Institute of Industrial Technology, Yangsan 50635, Korea cKorea Institute of Industrial Technology, Ulsan 44413, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 119-123.

Abstract

SnO2 single layer and SnO2/Ag/SnO2 (SAS) tri-layered films were deposited on the glass substrate by RF and DC magnetron sputtering at room temperature and then the effect of Ag interlayer on the opto-electrical performance of the films were considered. As

Keywords

SnO2, Ag, Sheet resistance, XRD, AFM.

Graphene Growth on the Cobalt and Nickel Sputtered Cu foil Depending on the Annealing Time

Ye-Chan Oh, Woo-Jin Lee, Sang-Ho Kim*

School of Energy, Materials & Chemical Engineering Korea University of Technology and Education, Cheonan City, Chungnam, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 124-132.

Abstract

Graphene which grown on the cobalt or nickel sputtered copper foil depending on the annealing time was studied. Graphene on the copper foil grown by chemical vapor deposition was compared to those on cobalt or nickel sputtered copper foil by using a RF (

Keywords

Graphene, Cobalt, Nickel, Microstructure, Raman spectroscopy, Annealing time

Effect of Negative Substrate Bias Voltage on the Microstructure and Mechanical Properties of Nanostructured Ti–Al–N–O Coatings Prepared by Cathodic Arc Evaporation

Sungbo Heo, Wang Ryeol Kim and In-Wook Park*

Korea Institute of Industrial Technology (KITECH), Yangsan 50623, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 133-138.

Abstract

Ternary Ti–X–N coatings, where X = Al, Si, Cr, O, etc., have been widely used for machining tools and cutting tools such as inserts, end-mills, and etc. Ti–Al–N–O coatings were deposited onto silicon wafer and WC-Co substrates by a cathodic arc evaporati

Keywords

Ti–Al–N–O Coatings, Substrate bias voltage, Cathodic arc evaporation, Microstructure

Influence of Deposition Temperature on the Film Growth Behavior and Mechanical Properties of Chromium Aluminum Nitride Coatings Prepared by Cathodic Arc Evaporation Technique

Sungbo Heo and Wang Ryeol Kim*

Korea Institute of Industrial Technology (KITECH), Yangsan 50623, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 139-143.

Abstract

Cr–Al–N coatings were deposited onto WC-Co substrates using a cathodic arc evaporation (CAE) system. CAE technique is recognized to be a very useful process for hard coatings because it has many advantages such as high packing density and good adhesion to

Keywords

Cr–Al–N Coatings, Deposition temperature, Cathodic arc evaporation

Preparation and Antibacterial Properties of the Planar-Type ZnO Powder Coated with Ag or CuO

Da-Hee Honga, Ji-Yoo Gwacka, Deock-Seong Jeona, Dong-Hyeon Job, Gun-Sub Leeb, Jung-Hwan Leeb and Hee-Chul Leea,*

aDepartment of advanced Materials Engineering, Korea Polytechnic University, Siheung 15073, Korea bEnergy Business Unit, Duckjin Co., Siheung 15078, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 144-151.

Abstract

In the present work, planar-type ZnO powder of [0001] plane with a high aspect ratio range of 20:1 to 50:1 was synthesized. Ag or CuO could be coated on the planar-type ZnO powder by wet methods such as centrifugation or ball milling. During the coating,

Keywords

Planar-type ZnO, Wet coating, Centrifugation, Ball milling, Antibacterial

Surface observation of Ni(OH)2 nanosheets fabricated by electrodeposition method

Dong Yeon Kima, Injoon Sona,*, Mun-Hyun Choib

aDepartment of Materials Science and Metallurgical Engineering, Kyungpook National University, 41566, Daegu, Republic of Korea bDongkang Tech Corp.,41497, Daegu, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 152-157.

Abstract

The pseudocapacitor has a high energy density characteristic because it accumulates charges through a paradic redox reaction. However, due to its strong insulation properties, metal hydroxides should be designed as structural systems optimized for charge

Keywords

Electrodeposition, Hexa-methylenetetramine (HMT), Ni(OH)2 nanosheet

Introduction of Selective Electrochemical Additive Manufacturing Technology and Consideration of Integration Method for PCB Mass Production Process

Sung-Bin Kim1,* and Bongyoung Yoo2

1AnyCasting Co., Ltd., B-16th FL., Woolim BLDG., 583 Yangcheon-ro, Gangseo-gu, Seoul, Korea 07547 2Dept. of Materials science and chemical engineering, Hanyang University, 55 Hanyangdaehak-ro, Sangnok-gu, Ansan-si, Gyeonggi-do, Korea 15588

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 3, pp. 158-163.

Abstract

Some studies on electrochemical additive manufacturing of metals were summarized in this technical report, and development status of selective electrochemical 3D printing technology was introduced. In order to apply it to the PCB mass production process,

Keywords

Copper, 3D printing, electroplating package

Vol. 54 No.4

Suppressing Effect of Hydrogen Evolution by Oxygen Functional Groups on CNT/ Graphite Felt Electrode for Vanadium Redox Flow Battery

Minseong Kim1, Minseong Ko1,2*

1 Department of Marine design Convergence Engineering, Pukyong National University, Busan 48547, Republic of Korea 2 Department of Metallurgical Engineering, Pukyong National University, Busan 48547, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 164-170.

Abstract

Vanadium redox flow batteries (VRFB) have emerged as large-scale energy storage systems (ESS) due to their advantages such as low cross-contamination, long life, and flexible design. However, Hydrogen evolution reaction (HER) in the negative half-cell ca

Keywords

Vanadium redox flow battery, Hydrogen evolution reaction, Carbon nanotube, Oxygen functional group

Surface hardness measurement of NiP-plated AA7050

Sungmo Moon1,2,*, Juseok Kim1,3

1Surface Technology Division, Korea Institute of Materials Science, Gyeongnam 51508, Republic of Korea 2Advanced Materials Engineering, Korea University of Science and Technology, Daejeon 34113, Republic of Korea 3Department of Materials Science and Eng

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 171-177.

Abstract

This paper is concerned with the surface hardness measurement of NiP-coated AA7050 using different loads from 10 to 100 g. The surface hardness was observed to increase from 180 to 600 Hv with increasing NiP layer thickness, depending on the load applied

Keywords

Surface hardness, NiP, AA7050

Dielectric Properties of BaTiO3 Substituted with Donor Dopants of Nb5+ and Ta5+

Yeon Jung Kim*

College of Engineering, Dankook University, Yongin 16890, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 178-183.

Abstract

The temperature and frequency dependence of the dielectric constant of the BaTiO3 substituted with two types of donor dopants, Nb5+ and Ta5+, respectively, were compared and analyzed. Dielectric specimens of four specific compositions, Ba0.95Nb0.05TiO3, B

Keywords

BaTiO3, Dielectric properties, Modified Curie-Weiss law

Effect of pre-treatment of AZ91 Mg alloy in HF solution on PEO film formation behavior

Duyoung Kwon1,2,, Pung-Keun Song2, Sungmo Moon1,3*

1Surface Materials Division, Korea Institute of Materials Science, Republic of Korea 2Department of Materials Science and Engineering, Pusan National University, Republic of Korea 3Advanced Materials Engineering, Korea University of Science and Technology

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 184-193.

Abstract

This study demonstrates formation behavior and morphological changes of PEO (Plasma Electrolytic Oxidation) films on AZ91 Mg alloy as a function of pre-treatment time in 1 M HF solution at 25 ± 1 ℃. The electrochemical behavior and morphological changes

Keywords

AZ91 Mg alloy, Plasma electrolytic oxidation, Pre-treatmen

A study on the Corrosion Detection Sensor using Multi-Wall Carbon Nanotube

Soobin Parka, Sungyeon Kima, Munjeong Choia, Yeongjun Honga, Sujeong Leea, Sungjun Kwonb, Bongyoung Yooa, *, and Sanghwa Yoona, *

a Department of Materials Science and Chemical Engineering, Hanyang University, Ansan 15588, Republic of Korea b Department of Civil and Environmental Engineering, Hannam University, Daejeon, 34430, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 194-199.

Abstract

In this study, rebar corrosion detection sensor was fabricated using multi-walled carbon nanotubes (MWCNTs). MWCNTs were pre-treated in the acid electrolytes to attach the carboxylic acid to the surface of MWCNTs. The fabricated sensor was attached on th

Keywords

Multiwall carobon nanotube, Corrosion, Corrosion detection sensor

Lateral growth of PEO films on Al7050 alloy in 0.1 M NaAlO2

Sungmo Moon1,2,*, Gi Yeob Kim3

1Surface Materials Division, Korea Institute of Materials Science, Gyeongnam 51508, Republic of Korea 2Advanced Materials Engineering, Korea University of Science and Technology, Daejeon 34113, Republic of Korea 3 Dept. of applied advanced materials, Ch

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 200-208.

Abstract

This paper investigated generation behavior of micro-arcs and growth behavior of PEO films on the AA7050 disc specimen in 0.1 M NaAlO2 solution under the application of 1200 Hz anodic pulse current. Morphologies, thickness and surface roughness of PEO fil

Keywords

Plasma electrolytic oxidation, Lateral growth, Anodic oxide film, AA7050

A Study on the Pretreatment of the Spent Coffee Grounds using Electrocoagulation and Its Filter Characteristics

Soobin Parka, Haneul Hana, Haneul Parka, Seunghyun Lima, Bongyoung Yooa,*, and Sanghwa Yoona,*

aDepartment of Materials Science and Chemical Engineering, Hanyang University, Ansan 15588, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 4, pp. 209-214.

Abstract

As coffee consumption per person increases annually to 323 cups in 2018, treating the spent coffee ground has arisen because spent coffee ground results in soil and air pollution. The demands of air purification filters are increasing more and more becau

Keywords

Spent coffee ground, Electrocoagulation, Filter

Vol. 54 No.5

FE-SEM Image Analysis of Junction Interface of Cu Direct Bonding for Semiconductor 3D Chip Stacking

Jaeduk Byun, June Won Hyun*

Department of Physics, Dankook University, Dandae-ro, Dongnam-gu, Cheonan-si, Chungnam, 31116, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 207-212.

Abstract

The mechanical and electrical characteristics can be improved in 3D stacked IC technology which can accomplish the ultra-high integration by stacking more semiconductor chips within the limited package area through the Cu direct bonding method minimizin

Keywords

Semiconductors, 3D chip stacking , Cu direct bonding, Interface

Study on the Fabrication of Various AAO Membranesfor the Application of Li-ion Battery Separator

Moonsu Kim†, Kyungmin Lim†, Jaeyun Ha, Yong-Tae Kim*, Jinsub Choi*

Department of Chemistry and Chemical Engineering, Inha University 22212, 100 Inha-ro, Michuhol-gu, Incheon, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 213-221.

Abstract

In order to improve the energy density and safety of Li-ion batteries, the development of a separator with high thermal stability and electrolyte wettability is an important desire. Thus, the ceramic separator to replace the polymer type is one of the mo

Keywords

Anodization; Anodic aluminium oxide; Ceramic; Separator; Li-ion battery

De-icing of the hydrophobic treated nanoporous anodic aluminum oxide layer

Yeji Shin1, Jinhui Kim1, Dongmin Shin1, Hyung-Seok Moon2, Junghoon Lee1*

1Department of Metallurgical Engineering, Pukyong National University, Busan, Republic of Korea 2Energy Plant Group, Korea Institute of Industrial Technology, Busan, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 222-229.

Abstract

Icing causes various serious problems, where water vapor or water droplets adhere at cold conditions. Therefore, understanding of ice adhesion on solid surface and technology to reduce de-icing force are essential for surface finishing of metallic materi

Keywords

De-Icing; Hydrophobic; Anodic Oxidation; Wettability

A Study on Microstructure and Tribological Behavior of Superhard Ti–Al–Si–N Nanocomposite Coatings

Sung-Bo Heo, Wang Ryeol Kim*

Advanced Hybrid Production Technology Center, Korea Institute of Industrial Technology, Yangsan 50635, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 230-237.

Abstract

In this study, the influence of silicon contents on the microstructure, mechanical and tribological properties of Ti–Al–Si–N coatings were systematically investigated for application of cutting tools. The composition of the Ti–Al–Si–N coatings were contr

Keywords

Ti–Al–Si–N, Nanocomposite, Superhard, Tribological behavior, Arc ion plating.

A study on corrosion resistance and surface properties of AZ31 alloy according to Ca-GP addition during PEO treatment

Jun-Su Leea, Je-Shin Parka,b,*, Il-Song Parka,b,*

aDepartment of Metallurgical Engineering, Jeonbuk National University, Jeonju 54896, Republic of Korea bDivision of Advanced Materials Engineering and Research Center for Advanced Materials Development, Jeonbuk National University, Jeonju 54896, Republi

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 238-247.

Abstract

PEO (plasma electrolytic oxidation) was applied to modify the surface of AZ31 magnesium alloy in this study. The mixed solution of sodium hydroxide (NaOH) and sodium silicate (Na2SiO3) was used as the electrolyte, and 0 – 0.05 g/L of Ca-GP (Glycerol Phosp

Keywords

Magnesium alloy, Corrosion resistance, Plasma electrolytic oxidation(PEO)

Effects of post weld heat treatment conditions on localized corrosion resistance of super duplex stainless steel tube used for thermal power plant applications

Jun Ho Leea, Jin sung Parka, Dong Min Choa, Seung Gab Hongb and Sung Jin Kim a,*

a Department of Advanced Materials Engineering, Suncheon National University, Jungang-ro, Suncheon 57922, Republic of Korea b POSCO Technical Research Laboratories, Pohang 790-704, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 248-259.

Abstract

This study examined the influence of post weld heat treatment (PWHT) conditions on corrosion behaviors of laser-welded super duplex stainless steel tube. Due to the high cooling rate of laser welding, the phase fraction of ferrite and austenite in the wel

Keywords

Super duplex stainless steel, Corrosion, Cr2N, Sigma phase, Post weld heat treatment

Synthesis and Characterization of Zinc Oxide Nanorods for Nitrogen Dioxide Gas Detection

Jong-Hyun Park, Hyojin Kim*

Department of Materials Science and Engineering, Chungnam National University, Daejeon 34134, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 260-266.

Abstract

Synthesizing low-dimensional structures of oxide semiconductors is a promising approach to fabricate highly efficient gas sensors by means of possible enhancement in surface-to-volume ratios of their sensing materials. In this work, vertically aligned zi

Keywords

Zinc oxide, Oxide semiconductor, nanorod, NO2 gas sensor, Hydrothermal synthesis

Formation of compound layers and Wear behavior of AISI4115 steels by gaseous nitriding process

Taehwan Kim1,3, Seokwon Son1,3, Kyuntaek Cho2, Kee-ahn Lee3, Won-beom Lee1,*

1Eco-Friendly Thermal Surface Treatment R&D Group, Korea Institute of Industrial Technology(KITECH), Siheung, 15014, korea 2Power materials R&D Group, Korea Institute of Industrial Technology(KITECH), Suncheon, 58022, korea 3Department of Materi

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 267-277.

Abstract

Nitriding layers developed during gaseous nitriding of AISI4115 steels for the application of steel bushing part were investigated. The compound layer thickness of about 10 ㎛, 0.3 mm of case depth under the same conditions, and conventional nitriding, ni

Keywords

AISI4115, Wear properties, Gaseous nitriding, Surface hardening

Corrosion Image Monitoring of steel plate by using k-means clustering

Beomsoo Kima, Jaesung Kwona, Sungwoong Choia, Jungpil Nohb, Kyunghwang Leec, Jeonghyeon Yanga*

aDepartment of Mechanical System Engineering, Gyeongsang National University, Tongyeong, Gyeongnam, 53064, Korea bDepartment of Energy Mechanical Engineering, Gyeongsang National University, Tongyeong, Gyeongnam, 53064, Korea cSteel Solution R&D C

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 278-284.

Abstract

Corrosion of steel plate is common phenomenon which results in the gradual destruction caused by a wide variety of environments. Corrosion monitoring is the tracking of the degradation progress for a long period of time. Corrosion on steel plate appears a

Keywords

Corrosion, GrabCut Segmentation, Gaussian Mixture Model, HSV color space, k-means clustering

Characteristics of TiAlCrSiN coating to improve mold life for high temperature liquid molding

Ki-Ho Yeoa, Eun-Soo Parkb, Han-Chan Leeb,*

aDepartment of Metallurgical and Materials Engineering, Hanyang University, 15588, Republic of Korea bR&D Center, Eloi MaterialL(EML), Suwon 16229, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 5, pp. 285-293.

Abstract

High-entropy TiAlCrSiN nano-composite coating was designed to improve mold life for high temperature liquid molding. Alloy design, powder fabrication and single alloying target fabrication for the high-entropy nano-composite coating were carried out. Usin

Keywords

TiAlCrSiN nano-composite coating, HEA, Single alloying target, High Temperature Liquid Casting Mold

Vol. 54 No.6

Prospects on the Use of Corrosion Rate Measurement Method for Stainless Steel

Yongseon Choi, Jaewon Lee, Eunoak Park, Kiyoung Lee*

Department of Chemistry and Chemical Engineering, Inha University, Incheon 22212, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 294-301.

Abstract

As the number of cases of performance degradation owing to corrosion of plant during processing in industries increases, the cost of maintaining industrial factory is increasing year by the year. Most of the materials of the facilities are consist of stai

Keywords

Corrosion, Stainless steel (SS), Corrosion rate, Austenite stainless steel

The Effect of electron beam surface irradiation on the properties of SnO2/Ag/SnO2 thin films

Jin-Kyu Janga,†, Hyun-Jin Kima, Jae-Wook Choia, Yeon-Hak Leea, Young-Min Konga, Sung-Bo Heob, Yu-Sung Kimc,†, Daeil Kima,*

a School of Materials Science and Engineering, University of Ulsan, Ulsan 44776, Korea b Korea Institute of Industrial Technology, Yangsan 50635, Korea c Korea Institute of Industrial Technology, Ulsan 44413, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 302-306.

Abstract

SnO2 30/Ag 15/SnO2 30 nm(SAS) tri-layer films were deposited on the glass substrates with RF and DC magnetron sputtering and then electron beam is irradiated on the surface to investigate the effect of electron bombardment on the opto-electrical perform

Keywords

SnO2, Ag, Electron irradiation, X-ray diffraction, Figure of merit

Improved Adhesion of DLC Films by using a Nitriding Layer on AISI H13 Substrate

Min-Seok Park1,2, Dae-Young Kim1,2, Chang-Seouk Shin3, Wang Ryeol Kim4,*

1Ness Co, Busan, Republic of Korea 2Mechanical Engineering, Pukyong National University, Busan, Republic of Korea 3School of Mechanical Engineering, Pusan National University, Busan, Republic of Korea 4Dongnam Division, Korea Institute of Industrial Tech

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 307-314.

Abstract

Diamond-like carbon (DLC) is difficult to achieve sufficient adhesion because of weak bonding between DLC film and the substrate. The purpose of this study is to improve the adhesion between substrate and DLC film. DLC film was deposited on AISI H13 usin

Keywords

DLC Coating, Plasma nitriding, Adhesion, Active screen

Effect of Ultrasonic Process of Electroless Ni-P-Al2O3 Composite Coatings

Jin-Doo Yoon1, Bon-Heun Koo1, Hwan-Il Hwang2, Sun-Kyo Seo3 and Jong-Kyu Park3*

1 School of Materials Science & Engineering, Changwon National University Changwon, 20 Changwondaehak-ro, Uichang-gu, Changwon-si, Gyeongsangnam-do, 51140, Korea 2Dept. of Smart Surface Procession, Namincheon Campus of KOREA POLYTECHNIC, Yeomjeon-ro

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 315-323.

Abstract

In general, surface treatments of electroless Ni-P coating are extensively applied in the industry due to their excellent properties for considerable wear resistance, hardness, corrosion resistance. This study aims to determine the effect of ultrasonic c

Keywords

Al2O3, Electroless deposition, Ni-P, Composite coating, Corrosion

Evaluating the performance and characteristics of Rutile TiO2 thin film for Triboelectric Nanogenerator (TENG)

Ji-Hyeon Moon a, ∇, Han-Jae Kim a, ∇, Hyo-Bae Kim a, *, Ji-Hoon Ahn a, *

aDepartment of Materials Science and Chemical Engineering, Hanyang university, 55 Hanyangdaehak-ro, Sangnok-gu, Ansan 15588, Gyeonggi-do, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 324-330.

Abstract

As energy harvesting technology becomes important in relation to environmental issues, piezoelectric materials that convert mechanical energy into electrical energy are attracting attention. However, PZT, a representative material for piezoelectricity, is

Keywords

TiO2, PTFE, Triboelectric nanogenerator, Sol-gel process, Energy harvesting

Fabrication and Characterization of Ytterbium Silicates for Environmental Barrier Coating Applications

Jae-Hyeong Choia, and Seongwon Kima,*

aEngineering Ceramics Center, Korea Institute of Ceramic Engineering and Technology, Icheon, Gyeonggi-do 17303, Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 331-339.

Abstract

Environmental barrier coatings(EBCs) are applied to the SiC/SiC ceramic matrix composites(CMCs) in order to protect CMCs from being corroded with water vapor by combustion gas in gas turbine engines. Ytterbium silicates, such as ytterbium monosilicate an

Keywords

Environmental barrier coatings(EBCs), Ytterbium silicate, Phase formation, Thermo-physical property

Synthesis of size-controlled ZnO tetrapods sizes using atmospheric microwave plasma system and evaluation of its photocatalytic property

Sung-Gyu Heo and Goo-Hwan Jeong*

Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 340-347.

Abstract

Among various metal oxide semiconductors, ZnO has an excellent electrical, optical properties with a wide bandgap of 3.3 eV. It can be applied as a photocatalytic material due to its high absorption rate along with physical and chemical stability to UV

Keywords

Atmospheric microwave plasma, ZnO tetrapod, Size-controlled synthesis, Methylene blue solution, Photocatalytic performance

A Study on Nitrogen Doping of Graphene Based on Optical Diagnosis of Horizontal Inductively Coupled Plasma

Sung-Il Jo and Goo-Hwan Jeong*

Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University, Chuncheon 24341, Republic of Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 348-356.

Abstract

In this study, optical diagnosis of plasma was performed for nitrogen doping in graphene using a horizontal inductively coupled plasma (ICP) system. Graphene was prepared by mechanical exfoliation and the ICP system using nitrogen gas was ignited for plas

Keywords

Graphene, Defect-suppressed doping, Inductively coupled plasma, Optical emission spectroscopy, Electron excitation temperature

Effect of fluorine gas addition for improvement of surface wear property of DLC thin film deposited by using PECVD

Hyun-Jun Parkab, Jun-Hyung Kimc, Kyoung-Il Moona,*

aHeat Treatment R&D Group, Korea Institute of Industrial Technology, Gyeounggi-do 150014, Korea bDepartment of Materials Science and Engineering, Inha University, Incheon 22212, Korea cPoongsan Co., Ltd.,

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 357-364.

Abstract

In this study, DLC films deposited by PECVD were evaluated to the properties of super-hydrophobic by CF4 treatment. The structure of DLC films were confirmed by Raman Spectra whether or not mixed sp3 (like diamond) peak and sp2 (like graphite) peak. And t

Keywords

PECVD, F-DLC, Super-hydrophobic, CF4

Influence of Plasma Corrosion Resistance of Y2O3 Coated Parts by Cleaning Process

Minjoong Kima,b, Jae-Soo Shinc, Ju-Young Yunb,d,*

aDepartment of Electrical Engineering, Hanyang University, Seoul, 04763, Republic of Korea bVacuum Materials Measurement Team, Korea Research Institute of Standards and Science, Daejeon, 34113, Republic of Korea cDepartment of Energy&Advanced Material

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 365-370.

Abstract

In this research, we proceeded with research on plasma resistance of the cleaning process of APS(Atmospheric Plasma Spray)-Y2O3 coated parts used for semiconductor and display plasma process equipment. CF4, O2, and Ar mixed gas were used for the plasma e

Keywords

Plasma corrosion resistance, Spray coating, Cleaning, Contamination particle

Study on Evaluation of Degrease Performance on the Interface between Oil and Alloy

Wonyoung Choi1, † , Moonsu Kim1, †, Hyeonseok Yoo2, Yeongyun Song2, Yong-Gyun Jeong2, Jinsub Choi1, *

1: Department of Chemistry and Chemical Engineering, Inha University 22212, 100 Inha-ro, Michuhol-gu, Incheon, South Korea 2: Steel Solution Research Lab., POSCO 21985, 100 Songdogwahak-ro, Yeonsu-gu, Incheon, South Korea

KISE Journal of Korean Institute of Surface Engineering, Vol. 54, No. 6, pp. 371-379.

Abstract

The use of anti-corrosive oil (AC) is inevitable for production of industrial steels to prevent corrosion. The AC is degreased before application of steels, which crucially effects on final products, such as automobile, electricity etc. However, qualitati

Keywords

Steel, Oil, Anticorrosive oil, Degrease, Electrochemical impedance spectroscopy, EIS

  • Vol. 53 No.1
  • Vol. 53 No.2
  • Vol. 53 No.3
  • Vol. 53 No.4
  • Vol. 53 No.5
  • Vol. 53 No.6

Vol. 53 No.1

Property Changes of Ni-Co Film with the Change of Co Concentration in Sulfamate-chloride Bath

Yoon, Pilgeun;Park, Deok-Yong;

Department of Advanced Materials Engineering, Hanbat National University;Department of Advanced Materials Engineering, Hanbat National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 1-8.

Abstract

Sulfamate-chloride baths were fabricated to study the properties of the electrodeposited Ni and NiCo thin films. The dependences of current efficiency, deposit composition of Ni and Co, residual stress, surface morphology and microstructure of electrodepo

Keywords

Electrodeposition;Ni thin film;NiCo thin film;sulfamate-chloride bath;thin film properties;

Structural and Optical Properties of CuS Thin Films Grown by RF Magnetron Sputtering

Shin, Donghyeok;Lee, SangWoon;Son, Chang Sik;Son, Young Guk;Hwang, Donghyun;

School of Materials Science and Engineering, Pusan National University;School of Materials Science and Engineering, Pusan National University;Division of Materials Science and Engineering, Silla University;School of Materials Science and Engineering, Pusa

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 9-14.

Abstract

CuS (copper sulfide) thin films having the same thickness of 100nm were deposited on the glass substrates using by radio frequency (RF) magnetron sputtering method. RF powers were applied as a process variable for the growth of CuS thin films. The structu

Keywords

Covellite;CuS thin film;RF magnetron sputtering;deposition power;solar cell;

A Study on the Growth and Burning of Anodic Oxide Films on Al6061 Alloy During Anodizing at Constant Voltages

Moon, Sanghyuck;Moon, Sungmo;Song, Pungkeun;

Sinwon Metal;Surface Technology Division, Korea Institute of Materials Science;Materials Engineering, Pusan National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 15-21.

Abstract

In this study, growth and burning behavior of 6061 aluminum alloy was studied under constant anodic voltages at various temperatures and magnetic stirring rates in 20% sulfuric acid solution by analysing I-t curves, measuring thickness and hardness of alu

Keywords

Anodizing;Anodic Oxide Film;Burning;Al6061;Sulfuric Acid;

Catalytic Oxidation of CO over Manganese Dioxide Nanoparticles Synthesized Using a High Pressure Homogenizer

Ji, Sunghwa;Kim, Hyojin;

Department of Materials Science and Engineering, Chungnam National University;Department of Materials Science and Engineering, Chungnam National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 22-28.

Abstract

In this study, manganese dioxide (MnO2) nanoparticles were synthesized from KMnO4 and MnCl2·4H2O without any dispersing agents and oxidant via ultra-high pressure homogenization process. We investigated v

Keywords

Manganese dioxide;Nanoparticle;CO oxidation;Catalyst;High pressure homogenizer;

Effects of Specimen Preparation Method and Contact Resistance on the Formation of Anodizing Films on Aluminum Alloys

Moon, Sungmo;

Surface Technology Division, Korea Institute of Materials Science;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 29-35.

Abstract

In this study, five different specimen preparation methods were introduced and their advantages and disadvantages were presented. One of them, an epoxy mounting method has advantages of constant exposure area, ease of surface preparation without touching

Keywords

Anodizing;specimen preparation method;Anodic Oxide Film;Contact resistance;Al alloy;

Novel Methods for Measuring the Surface Hardness of Anodic Oxide Films on Aluminum Alloy

Moon, Sungmo;

Surface Technology Division, Korea Institute of Materials Science;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 1, pp. 36-42.

Abstract

In this study, two novel methods to measure the surface hardness of anodic oxide films on aluminum alloys are reported. The first method is to impregnate oil-based ink into pores in the anodic oxide film and then to clean the ink on the surface using etha

Keywords

Surface hardness;Anodic oxide film;Anodizing;Al alloy;

Vol. 53 No.2

Effects of Pre-Aging Treatment on the Corrosion Resistance of Low Temperature Plasma Nitrocarburized AISI 630 Martensitic Precipitation Hardening Stainless Steel

Lee, Insup;Lee, Chun-Ho;

Department of Advanced Materials Engineering, Dongeui university;Department of Advanced Materials Engineering, Dongeui university;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 43-52.

Abstract

Various aging treatments were conducted on AISI 630 martensitic precipitation hardening stainless steel in order to optimize aging condition. Aging treatment was carried out in the vacuum chamber of Ar gas with changing aging temperature from 380℃

Keywords

AISI 630 martensitic precipitation hardening stainless steel;${alpha}^{prime}_N$ layer;Corrosion resistance;low temperature plasma nitriding;aging;

Study of PEO Process for Al 7075 and Effect of additives

Jin, Yun-Ho;Yang, Jae-Kyo;

Advanced Materials & Processing Center, Institute for Advanced Engineering (IAE);Advanced Materials & Processing Center, Institute for Advanced Engineering (IAE);

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 53-58.

Abstract

In this study, we developed plasma electrolytic oxidation (PEO) process for aluminum 7075 alloy to improve the corrosion and mechanical properties. The electrolyte consists of potassium hydroxide and sodium silicate. Additionally, sodium stannate was adde

Keywords

Plasma Electrolytic Oxidation(PEO);Aluminium alloys;Oxide film;Tin oxide;Additives;

Formation Behavior and Properties of PEO Films on AZ91 Mg Alloy in 0.1 M NaOH + 0.05 M NaF Solution Containing Various Na2SiO3 Concentrations

Kwon, Duyoung;Song, Pung-Keun;Moon, Sungmo;

Surface Technology Division, Korea Institute of Materials Science;Department of Materials Science and Engineering, Pusan National University;Surface Technology Division, Korea Institute of Materials Science;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 59-66.

Abstract

Effects of Na2SiO3 concentration added into 0.1 M NaOH + 0.05 M NaF solution on the formation behavior and properties of PEO films on AZ91 Mg alloy were investigated under 1200 Hz of alternating current (AC) by voltage-time curves, i

Keywords

AZ91 Mg alloy;Plasma electrolytic oxidation;$Na_2SiO_3$ concentration;Burning;

Microstrcture and Mechanical Properties of HfN Films Deposited by dc and Inductively Coupled Plasma Assisted Magnetron Sputtering

Jang, Hoon;Chun, Sung-Yong;

Department of Advanced Materials Science and Engineering, Mokpo National University;Department of Advanced Materials Science and Engineering, Mokpo National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 67-71.

Abstract

For deposition technology using plasma, it plays an important role in improving film deposited with high ionization rate through high density plasma. Various deposition methods such as high-power impulse magnetron sputtering and ion-beam sputtering have b

Keywords

Inductively coupled plasma;Inductively coupled plasma assisted magnetron sputtering;Hafnium nitride;

Study of High Temperature Corrosion Behavior of Fe-Cr Steel in Sewage Sludge-(SO2-O2-H2O-bal. CO2) mixed Gas Environment

Kim, Min Jung;Park, Joo Chang;Ryu, In Sun;

School of Advanced Materials Science and Engineering, Sungkyunkwan University;Department of Energy Systems Research, Ajou University;3 Department of Advanced Material, Korea polytechnic;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 72-79.

Abstract

Two Fe-Cr steels of T22 steel and STS430 steel were corroded at 650 and 750℃ for 100hr in sewage sludge-(0.3% SO2-6% O2-10% H2O-balance CO2) mixed gas environment. T22 steel corroded faster than STS430, i

Keywords

Sewage Sludge;Corrosion;$P_2O_5$;Oxidation;Diffusion;

Surface Hardness Measurement of Anodic Oxide Films on AA2024 based an Ink-Impregnation Method

Moon, Sungmo;Rha, Jong-joo;

Surface Technology Division, Korea Institute of Materials Science;Surface Technology Division, Korea Institute of Materials Science;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 2, pp. 80-86.

Abstract

This paper is concerned with type of imperfections present within the anodic oxide films on AA2024 and surface hardness of the anodic film measured after ink-impregnation. The anodic oxide films were formed for 25 min at 40 mA/㎠ and 15±0.5&#

Keywords

Surface hardness;anodic oxide film;AA2024;ink-impregnation method;

Vol. 53 No.3

Fast and Soft Functionalization of Carbon Nanotube with -SO3H, -COOH, -OH Groups for Catalytic Hydrolysis of Cellulose to Glucose

Lusha, Qin;Lee, Sungho;Li, Oi Lun;

School of Materials Science and Engineering, Pusan National University;School of Materials Science and Engineering, Pusan National University;School of Materials Science and Engineering, Pusan National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 87-94.

Abstract

Herein, sulfonated carbon nanotubes (CNT) have been prepared in dilute sulfuric acid (H2SO4) via a novel sulfonation approach based on gas-liquid interfacial plasma (GLIP) at room temperature. The sulfonic acid groups and total acid

Keywords

Gas-liquid interfacial plasma;Soft sulfonation;Dilute sulfuric acid;Sulfonated carbon catalysts;Cellulose transformation;

Development of High Erosion Resistant Fe-based Alloy for Continuous Hot Dipping Line

Baek, Min-Sook;Kim, Yong-Cheol;Baek, Kyeong-Cheol;Kwak, Joon-Seop;Yoon, Dong-Joo;

Center for Practical Use of Rare Materials, Sunchon National University;SAMWOO ECO., LTD.;SAMWOO ECO., LTD.;Center for Practical Use of Rare Materials, Sunchon National University;Center for Practical Use of Rare Materials, Sunchon National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 95-103.

Abstract

In this study, the material used in the hot dip galvanizing equipment was poorly corrosion-resistant, so it was performed to solve the cost and time problems caused by equipment replacement. The theoretical calculation was performed using the DV-Xα

Keywords

Erosion resistant;Fe-based alloys;Martensite phase;$DV-X{alpha}$ method;Induction furnace;Potentiodynamic polarization test;Corrosion rate;Continuous hot dipping line;

Influence of Au Interlayer Thickness on the Opto-Electrical Properties of ZnO Thin Films

Park, Yun-Je;Choe, Su-Hyeon;Kim, Yu-Sung;Cha, Byung-Chul;Gong, Young-Min;Kim, Daeil;

School of Materials Science and Engineering, University of Ulsan;School of Materials Science and Engineering, University of Ulsan;Advanced Forming Processes R&D Group, Korea Institute of Industrial Technology;Advanced Forming Processes R&D Group, Korea In

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 104-108.

Abstract

ZnO single layer films (100 nm thick) and Au intermediated ZnO films (ZnO/Au/ZnO; ZAZ) were deposited on the glass substrate by RF and DC magnetron sputtering at room temperature and then the influence of the Au interlayer on the electrical and optical pr

Keywords

ZnO;Au;Sheet resistance;Visible transmittance;Optical band gap;

Characteristics of a Polycrystalline Diamond Thin Film Deposited on a-plane Sapphire Substrate

Tan, Xing Yan;Jang, Tae Hwan;Kwon, Jin Uk;Kim, Tae Gyu;

Department of Nano Fusion Technology, Pusan National University;Department of Nano Fusion Technology, Pusan National University;Department of Nano Fusion Technology, Pusan National University;Department of Nanomechatronics Engineering, Pusan National Univ

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 109-115.

Abstract

In this study, polycrystalline diamond was synthesized by chemical vapor deposition (CVD). Diamond films were deposited on a-plane sapphire substrates while changing the concentration of methane for hydrogen (CH4/H2), and the concent

Keywords

a-plane sapphire substrate;Polycrystalline Diamond;MPECVD;Raman spectra;XRD;AFM;

Effect of Argon Ion Beam Incident Angle on Self-Organized Nanostructure on the Surface of Polyethylene Naphthalate Film

Joe, Gyeonghwan;Yang, Junyeong;Byeon, Eun-Yeon;Park, Young-Bae;Jung, Sunghoon;Kim, Do-Geun;Lee, Seunghun;

Surface Technology Division, Korea Institute of Materials Science;Surface Technology Division, Korea Institute of Materials Science;Surface Technology Division, Korea Institute of Materials Science;School of Materials Science and Engineering, Andong Natio

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 116-123.

Abstract

Ion beam irradiation induces self-organization of nanostructure on the surface of polymer film. We show that the incident angle of Ar ions on polyethylene naphthalate(PEN) film changes self-organized nanostructure. PEN film was irradiated by argon ion bea

Keywords

Surface treatment;Self-organized;Nanostructure;Polymer;Ion beam;Quantitative measurement;Masking by oligomer;

Development of Space Divided PE-ALD System and Process Design for Gap-Fill Process in Advanced Memory Devices

Lee, Baek-Ju;Hwang, Jae-Soon;Seo, Dong-Won;Choi, Jae-Wook;

Machinery R&D Center, Hanwha Corporation;Machinery R&D Center, Hanwha Corporation;Machinery R&D Center, Hanwha Corporation;Machinery R&D Center, Hanwha Corporation;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 3, pp. 124-129.

Abstract

This study is for the development of high temperature ALD SiO2 film process, optimized for gap-fill process in manufacturing memory products, using a space-divided PE-ALD system equipped with an independent control dual plasma system and orbita

Keywords

Space-Divided PEALD;Gap-Fill;Deposition;High aspect ratio;Inhibitor;

Vol. 53 No.4

Structural and Luminescent Properties of Gd2WO6:RE3+ (RE = Dy, Sm, Dy/Sm) Phosphors for White Light Emitting Devices

Park, Giwon;Jung, Jaeyong;Cho, Shinho;

Division of Materials Science and Engineering, Silla University;Division of Materials Science and Engineering, Silla University;Division of Materials Science and Engineering, Silla University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 131-137.

Abstract

A series of Dy3+, Sm3+, and Dy3+/Sm3+ doped Gd2WO6 phosphors were synthesized by the conventional solid-state reaction. The X-ray diffraction patterns revealed that all of the diffraction p

Keywords

Phosphor;Photoluminescence;Solid-state reaction;Gadolinium tungstate;

The Micro Coil Production through Research on the Additive Conditions of Electrochemical Metal 3D Printer

Kim, Young-Kuk;Kang, Donghwa;Kim, Sung-Bin;Yoo, Bongyoung;

Anycasting;Dept. of Materials and Chemical Engineering, Hanyang University;Anycasting;Dept. of Materials and Chemical Engineering, Hanyang University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 138-143.

Abstract

In this study, we produced a coil of micro-pattern that can be used for electromagnetic wave absorber, heating material, wireless charging, sensor, antenna, etc. by using electrochemical additive manufacturing method. Currently, it contains research conte

Keywords

Electrodeposition;Additive manufacturing;Microcoil;Metal 3D Printer;Localization;

Improvement of joining strength between aluminum alloy and polymer by two - step anodization

Lee, Sung-Hyung;Yashiro, Hitoshi;Kure-Chu, Song-Zhu;

Gakko hojin Kitahara gakuen;Department of Chemistry and Biological Science, Iwate University;Materials Function and Design, Nagoya Institute of Technology;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 144-152.

Abstract

In the manufacturing process of joining of aluminum alloy and polymer, the strength of the metal-polymer joining is greatly influenced by the nanostructure of the oxide film. In this study, we investigated the dependence of joining strength on the thickne

Keywords

Adhesion;Anodizing;Aluminum oxide;Porous aluminum oxide;joining strength;

Photocatalytic decomposition of polyethylene composite film with TiO2 nanotube powders prepared by rapid breakdown anodization

Lim, Kyungmin;Kim, Yong-Tae;Choi, Jinsub;

Department of Chemistry and Chemical Engineering, Inha University;Department of Chemistry and Chemical Engineering, Inha University;Department of Chemistry and Chemical Engineering, Inha University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 153-159.

Abstract

Photocatalytic decomposition of polyethylene film with TiO2 nanotube powders (NTs) was investigated under UV irradiation at ambient conditions. TiO2 NTs composed of individual nanotubes are prepared by rapid breakdown anodization tec

Keywords

Photocatalytic degradation;$TiO_2$ nanotube powders;Rapid breakdown anodization;Polyethylene film;

Fabrication and characterization of polymer-based carbon nanomaterial composites for thermal conductive adhesive application

Lee, Byeong-Joo;Jo, Sung-Il;Yoon, Eun-Hye;Lee, Ae-Ri;Lee, Woo-Young;Heo, Sung-Gyu;Hwang, Jae-Sung;Jeong, Goo-Hwan;

Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University;Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University;Taeyang 3C;Taeyang 3C;Department of Advanced

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 160-168.

Abstract

A polymer-based carbon nanomaterial composite was fabricated and characterized for the application of a thermal conductive adhesive. Low-dimensional carbon nanomaterials with excellent thermal conductivity such as carbon nanotube (CNT) and graphene were s

Keywords

Polymer-based composites;Carbon nanomaterials;Filler;Thermal conductive adhesive;Heat dissipation;

A brief review on the effect of impurities on the atomic layer deposited fluorite-structure ferroelectrics

Lee, Dong Hyun;Yang, Kun;Park, Ju Yong;Park, Min Hyuk;

Department of Materials Science and Engineering, Pusan National University;Department of Materials Science and Engineering, Pusan National University;Department of Materials Science and Engineering, Pusan National University;Department of Materials Scienc

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 169-181.

Abstract

The ferroelectricity in emerging fluorite-structure oxides such as HfO2 and ZrO2 has attracted increasing interest since 2011. Different from conventional ferroelectrics, the fluorite-structure ferroelectrics could be reliably scaled

Keywords

Ferroelectric;Impurity;Polymorphism;$HfO_2$;$ZrO_2$;

Preparation and characterization of silver nanowire transparent electrodes using shear-coating

Cho, Kyung Soo;Hong, Ki-Ha;Park, Joon Sik;Chung, Choong-Heui;

Department of Materials and Manufacturing Engineering, Hanbat National University;Department of Materials Science and Engineering, Hanbat National University;Department of Materials and Manufacturing Engineering, Hanbat National University;Department of M

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 182-189.

Abstract

Indium tin oxide (ITO) used a transparent electrode of a photoelectric device has a low sheet resistance and a high transmittance. However, ITO is disadvantageous in that the process cost is expensive, and the process time is long. Silver nanowires (AgNWs

Keywords

Transparent conductive electrodes;Silver nanowires;Shear-coating;Alignment;Meniscus;

Influence of Applied Current Density on Properties of Cu thin layer Electrodeposited from Copper Pyrophosphate Bath

Yoon, Pilgeun;Park, Deok-Yong;

Department of Advanced Materials Engineering, Hanbat National University;Department of Advanced Materials Engineering, Hanbat National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 4, pp. 190-199.

Abstract

Copper pyrophosphate baths were employed in order to study the dependencies of current efficiency, residual stress, surface morphology and microstructure of electrodeposited Cu thin layers on applied current density. The current efficiency was obtained to

Keywords

Electrodeposition;Cu thin layer;pyrophosphate bath;thin film properties;current density;

Vol. 53 No.5

Anti-corrosion Properties of SiOxCy(-H) thin Films Synthesized and Oxidized by Atmospheric Pressure Dielectric Barrier Discharge

Kim, Gi-Taek;Kim, Yoon Kee;

Department of Materials and Manufacturing Engineering, Hanbat National University;Department of Materials and Manufacturing Engineering, Hanbat National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 201-206.

Abstract

A SiOxCy(-H) thin film was synthesized by atmospheric pressure dielectric barrier discharge(APDBD), and a SiO2-like layer was formed on the surface of the film by oxidation treatment using oxygen plasma. Hexamethylcyclotrisiloxane was used as a

Keywords

Dielectric barrier discharge;Polymerization;Anti-corrosion;Silicon dioxide;Chemical vapor deposition;

Effect of ICCP Potential with Electrolyte on Corrosion and Discolor of Silver

Shin, Byung-Hyun;Kim, Do-Hyung;Chung, Won-Sub;

Departments of Materials Science and Engineering, Pusan National University;The Institute of Materials Technology, Departments of Materials Science and Engineering, Pusan National University;The Institute of Materials Technology, Departments of Materials

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 207-212.

Abstract

Silver is an inexpensive precious metal and is used in various jewelry in Asia. Although silver has high potential, it has corrosion resistance that is vulnerable to boiling sulfuric acid and nitric acid. So, silver research is needed to prevent the corro

Keywords

Silver;ICCP;Electrochemical properties;Surface corrosion;Discolor;

Electrochemical Property of the Composite Electrode with Graphene Balls and Graphene Oxide for Supercapacitor

Jeong, Woo-Jun;Oh, Ye-Chan;Kim, Sang-Ho;

School of Energy, Materials & Chemical Engineering Korea University of Technology and Education;School of Energy, Materials & Chemical Engineering Korea University of Technology and Education;School of Energy, Materials & Chemical Engineering Korea Univer

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 213-218.

Abstract

Composite material of the graphene ball (GB) inserted graphene oxide (GO) sheet for a supercapacitor electrode was studied. Chemical vapor deposition (CVD) process used to make GBs on the silicon oxide nanoparticles. The GBs mixed into the GO sheets to ma

Keywords

Graphene;Graphene oxide;Graphene balls;Supercapacitor;

Effect on Anodizing Oxide Film for Aluminum 6061-T6 Alloy on Corrosion and Stress Corrosion Cracking in Seawater

Shin, Dong-Ho;Hwang, Hyun-kyu;Jung, Kwang-Hu;Kim, Seong-Jong;

Division of marine engineering, Graduate school, Mokpo national maritime university;Division of marine engineering, Graduate school, Mokpo national maritime university;Maritime safety training team, Korea institute of maritime and fisheries technology;Div

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 219-226.

Abstract

This paper investigated the characteristics of anodized aluminum 6061-T6 alloy for corrosion and stress corrosion cracking(SCC) under natural seawater. The hard anodizing oxide film formed on the 6061-T6 was a uniform thickness of about 25 ㎛. The c

Keywords

Anodizing;6061-T6;Corrosion;Stress corrosion cracking;Seawater;

Effects of Stainless Steel Plate-Patterns on the Thermal Distortion and Surface Temperature of Aluminum Frypan

Moon, Sungmo;Yoon, Myungsik;

Surface Technology Division, Korea Institute of Materials Science;Three Baba Co.;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 227-231.

Abstract

This article investigated the effects of stainless steel plate-patterns bonded to aluminum frypan on the thermal distortion and surface temperature of the frypan during gas or induction heating. Two different stainless steel plate-patterns were employed:

Keywords

Stainless steel plate-pattern;Aluminum frypan;Thermal distortion;Surface temperature;

Photoelectrochemical Properties of Electrodeposited Cu2O Photocathode with Tailored Microstructures

Jeong, Dasol;Jo, Woohyeon;Jeong, Jaebum;Jung, Hyunsung;

Nano Materials & Nano Technology Center, Korea Institute of Ceramic Engineering and Technology;Nano Materials & Nano Technology Center, Korea Institute of Ceramic Engineering and Technology;Nano Materials & Nano Technology Center, Korea Institute of Ceram

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 232-240.

Abstract

Cu2O films as a photocathode for photoelectrochemical water splitting were potentiostatically deposited on FTO glasses. The morphology and composition of the electrodeposited Cu2O films were adjusted by the applied potentials. The po

Keywords

$Cu_2O$ electrodeposition;$Cu_2O$ photocathode;Photoelectrochemical properties;

Diamond Crystal Growth Behavior by Hot Filament Chemical Vapor Deposition According to Pretreatment Conditions

Song, Chang Weon;You, Mi Young;Lee, Damin;Mun, Hyoung Seok;Kim, Seohan;Song, Pung Keun;

The Institute of Materials Technology, Pusan National University;The Institute of Materials Technology, Pusan National University;Department of Materials Science and Engineering, Pusan National University;Department of Materials Science and Engineering, P

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 241-248.

Abstract

The change of the deposition behavior of diamond through a pretreatment process of the base metal prior to diamond deposition using HFCVD was investigated. To improve the specific surface area of the base material, sanding was performed using sandblasting

Keywords

Diamond film;Boron-doped diamond;Insoluble electrode;Pretreatment;Seeding;

A Study on the Properties of Anodic Oxide Films Formed on Al Alloys in Oxalic Acid

Jeong, Nagyeom;Park, Jihyun;

R&D center, YKMC Inc.;R&D center, YKMC Inc.;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 249-256.

Abstract

As the size of manufacturing equipment for LCD and OLED displays increases, replacement of existing heavy stainless steel components with light metals, such as aluminum alloys, is being more important in semiconducting and display manufacturing industries

Keywords

Aluminum;Oxalic acid anodizing;Hardness;Dielectric breakdown;Acid resistance;

Preparation of Anodic Iron Oxide Composite Incorporated with WO3 on the Stainless Steel Type-304 Substrate Through a Single-step Anodization

Kim, Moonsu;Lee, Jaewon;Lee, Kiyoung;Kim, Yong-Tae;Choi, Jinsub;

Department of Chemistry and Chemical Engineering, Inha University;Department of Advanced Science and Technology, Kyungpook National University;Department of Advanced Science and Technology, Kyungpook National University;Department of Chemistry and Chemica

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 257-264.

Abstract

Anodization of Fe and Fe alloys is one of the most promising techniques to obtain iron oxide films applying to the various electrochemical devices due to their electrochemical catalytic properties. In this study, we investigate on the preparation of anodi

Keywords

Stainless steel;Tungsten oxide;Single-step anodization;Composite film;

Mechanochemistry on Self-Assembled Monolayer(SAM) /Electrodes after Contacting with Polymeric Stamp

Yun, Changsuk;

Department of Advanced Materials Chemistry, Korea University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 5, pp. 265-270.

Abstract

We investigated mechanochemical radical, which is concomitant with chemical lift-off lithography(CLL), on the self-assembled monolayer(SAM)/electrodes and a polydimethylsiloxane(PDMS) using a colorimetric and a spectroscopic method. The 11-mercaptoundecan

Keywords

Chemical Lift-Off lithography(CLL);Mechanochemistry;Self-Assembled Monolayer(SAM);Radical;Bond Breaking;

Vol. 53 No.6

A Study on the Improvement of Tool`s Life by Applying DLC Sacrificial Layer on Nitride Hard Coated Drill Tools

Kang, Yong-Jin;Kim, Do Hyun;Jang, Young-Jun;Kim, Jongkuk;

Surface Technology Division, Korea Institute of Materials Science(KIMS);Surface Technology Division, Korea Institute of Materials Science(KIMS);Surface Technology Division, Korea Institute of Materials Science(KIMS);Surface Technology Division, Korea Inst

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 271-279.

Abstract

Non-ferrous metals, widely used in the mechanical industry, are difficult to machine, particularly by drilling and tapping. Since non-ferrous metals have a strong tendency to adhere to the cutting tool, the tool life is greatly deteriorated. Diamond-like

Keywords

Drill tool;sacrificial layer;Ion Source;DLC;Tribology;

Effect of Electron Irradiation on the Titanium Aluminium Nitride Thick Films

Choe, Su-Hyeon;Heo, Sung-Bo;Kong, Young-Min;Kim, Daeil;

School of Materials Science and Engineering, University of Ulsan;Functional Components & Materials Group, Korea Institute of Industrial Technology;School of Materials Science and Engineering, University of Ulsan;School of Materials Science and Engineering

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 280-284.

Abstract

Electron beam irradiation is widely used as a type of surface modification technology to advance surface properties. In this study, the effect of electron beam irradiation on properties, such as surface hardness, wear resistance, roughness, and critical l

Keywords

TiAlN;Arc ion plating;Electron irradiation;Hardness;Roughness;

Sterilization of Bacteria and Fungi in Cultural Heritages using Atmospheric Pressure Plasma Jet System

Jo, Sung-Il;Park, Dong-Min;Lee, Byeong Hoon;So, Myoung-Gi;Ha, Suk-Jin;Jeong, Goo-Hwan;

Department of Advanced Materials Science and Engineering, Graduate School of Kangwon National University;Department of Bioengineering and Technology, Graduate School of Kangwon National University;Kangwon Research Institute of Cultural Heritage;Department

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 285-292.

Abstract

Ancient cultural heritage made up of wood and organic fibers have been easily disintegrated or decomposed by various microorganisms like bacteria and fungi. Here, we demonstrate the effectiveness of an atmospheric pressure plasma jet (APPJ) system to ster

Keywords

Ancient cultural heritage;Sterilization;Atmospheric pressure plasma jet;Optical emission spectroscopy;Reactive oxygen species;

Study on the Compositional Characteristics of the PCS Coating Layer by Curing Treatment for the Protection of Graphite Mold Surface

Kim, Kyoung-Ho;Lee, Yoonjoo;Shin, Yun-Ji;Jeong, Seong-Min;Lee, Myung-Hyun;Bae, Si-Young;

Energy and Environmental Division, Korea Institute of Ceramic Engineering and Technology;Energy and Environmental Division, Korea Institute of Ceramic Engineering and Technology;Energy and Environmental Division, Korea Institute of Ceramic Engineering and

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 293-299.

Abstract

The characteristics of the polycarbosilane (PCS)-based composite ceramic layer was studied by controlling the curing temperature. The stress at the interface of the graphite and SiOC composite layer was evaluated v ia finite element analysis. As a result,

Keywords

SiC;VDR;PCS;Graphite;Functional grading materials;Composite ceramic;

Enhancement of Corrosion Resistance of Steel Reinforcement in Concrete by Hydrophobic Surface Treatments

Jo, Hyunbin;Shin, Dongmin;Seo, Eunhye;Lee, Wookjin;Lee, Junghoon;

Department of Metallurgical Engineering, Pukyong National University;Department of Metallurgical Engineering, Pukyong National University;Department of Metallurgical Engineering, Pukyong National University;Korea Institute of Industrial Technology;Departm

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 300-305.

Abstract

Corrosion of reinforcement steel rebar is a serious problem in a wide range of concrete application for buildings and infrastructures. Hydrophobizing surface treatments, such as self-assembled monolayer coating, edible oil-impregnation and silicone oil-im

Keywords

Corrosion;Concrete;Hydrophobicity;Oil-Impregnation;

Mechanical Properties of DLC Films and Duplex Plasma Nitriding/DLC Coating Treatment Process

Park, Hyun-Jun;Kim, Min-Chae;Kim, Sang-Sub;Moon, Kyoung-Il;

Heat Treatment R&D Group, Korea Institute of Industrial Technology;Dongbu electronic materials Co., Ltd.;Department of Materials Science and Engineering, Inha University;Heat Treatment R&D Group, Korea Institute of Industrial Technology;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 306-311.

Abstract

In this work, diamond-like carbon (DLC) films are coated onto plasma nitrided AISI 4140 steel by DC-pulsed PECVD. One problem of DLC films is their very poor adhesion on steel substrates. The purpose of the nitriding was to enhance adhesion between the su

Keywords

Plasma nitriding;DLC;Duplex treatment;Adhesion force;

Preparation of Porous Cobalt Thin Films by Using an Electrochemical Method

Ha, Seong-Hyeok;Shin, Heon-Cheol;

School of Materials Science and Engineering, Pusan National University;School of Materials Science and Engineering, Pusan National University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 312-321.

Abstract

Morphology of porous cobalt electro-deposits was systematically investigated as functions of cobalt precursors in the plating bath and applied cathodic current density with a special focus on cobalt nano-rod formation. It was proved that the concentration

Keywords

Cobalt;Porous structure;Nanorod;Cobalt sulfate;Preferential growth;

Corrosion Characteristics of Aluminum Die Casting Alloys with Different Scrap Charge Rate

Kim, Jun-Ho;Lee, Seung-Hyo;

Department of Ocean Advanced Materials Convergence Engineering, Korea Maritime and Ocean University;Department of Ocean Advanced Materials Convergence Engineering, Korea Maritime and Ocean University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 322-329.

Abstract

The utilization of aluminum scrap is a subject of great importance in terms of reducing energy consumption and environmental protection. However, aluminum scrap contains impurities, which can degrade the properties of aluminum alloy, especially corrosion

Keywords

Al Die Casting alloys;Aluminum Scrap;Microstructure;Corrosion Resistance;Micro-galvanic Interaction;Intergranular Corrosion;

A Brief Review on Polarization Switching Kinetics in Fluorite-structured Ferroelectrics

Kim, Se Hyun;Park, Keun Hyeong;Lee, Eun Been;Yu, Geun Taek;Lee, Dong Hyun;Yang, Kun;Park, Ju Yong;Park, Min Hyuk;

Technology Licensing Office, Institute for Research & Industry Cooperation, Pusan National University;School of Materials Science and Engineering, Pusan National University;School of Materials Science and Engineering, Pusan National University;School of M

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 330-342.

Abstract

Since the original report on ferroelectricity in Si-doped HfO2 in 2011, fluorite-structured ferroelectrics have attracted increasing interest due to their scalability, established deposition techniques including atomic layer deposition, and compatibility

Keywords

ferroelectric;polarization switching kinetics;domain dynamics;hafnia;semiconductor devices;

Mechanical Properties of MoN-Cu Coatings according to Pre-treatment of AISI H13 Tool Steel

Park, Hyun-Jun;Moon, Kyoung-Il;Kim, Sang-Sub;

Heat Treatment R&D Group, Korea Institute of Industrial Technology;Heat Treatment R&D Group, Korea Institute of Industrial Technology;Department of Materials Science and Engineering, Inha University;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 343-350.

Abstract

The degradation of mechanical properties of nitride coatings to steel substrates is one of the main challenges for industrial applications. In this study, plasma nitriding treatment was used in order to increase the mechanical properties of Mo-Cu-N coatin

Keywords

MoN-Cu thin film;Single alloy target;Pulsed DC magnetron Sputtering;Nanocomposite coating;Plasma nitriding;

Effect of Na3PO4 Concentration on the Formation Behavior and Properties of PEO Films on AA2024

Kim, Juseok;Shin, Heon-cheol;Moon, Sungmo;

Surface Materials Division, Korea Institute of Materials Science;Department of Materials Science and Engineering, Pusan National University;Surface Materials Division, Korea Institute of Materials Science;

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 351-359.

Abstract

Formation behavior and properties of PEO (Plasma Electrolytic Oxidation) film on AA2024 were investigated under application of pulsed current as a function of Na3PO4 concentration in 0.05 M Na2SiO3 solution by analyzing voltage-time behavior, in-situ obse

Keywords

Plasma electrolytic oxidation;Phosphate concentration;AA2024;Anodic oxide;

Enhanced Wear Resistance of Cutting Tools Using Multilayer ta-C Coating

Kim, Do Hyun;Kang, Yong-Jin;Jang, Young-Jun;Kim, Jongkuk;

Surface technology division, Korea Institute of Materials Science;Surface technology division, Korea Institute of Materials Science;Surface technology division, Korea Institute of Materials Science;Surface technology division, Korea Institute of Materials

KISE Journal of Korean Institute of Surface Engineering, Vol. 53, No. 6, pp. 360-368.

Abstract

Wear resistance of cutting tools is one of the most important requirements in terms of the durability of cutting tool itself as well as the machining accuracy of the workpiece. Generally, tungsten carbide ball end mills have been processed with hard coati

Keywords

ta-C;Multilayers;Wear resistance;Hardness;Elastic recovery;Diamond coating;